Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения тема диссертации и автореферата по ВАК РФ 01.04.08, кандидат физико-математических наук Зырянов, Сергей Михайлович

  • Зырянов, Сергей Михайлович
  • кандидат физико-математических науккандидат физико-математических наук
  • 2010, Москва
  • Специальность ВАК РФ01.04.08
  • Количество страниц 114
Зырянов, Сергей Михайлович. Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения: дис. кандидат физико-математических наук: 01.04.08 - Физика плазмы. Москва. 2010. 114 с.

Оглавление диссертации кандидат физико-математических наук Зырянов, Сергей Михайлович

Введение.

Глава 1. Обзор литературы

1.1. Перспективы развития микроэлектронных устройств.

1.2. Физические принципы уменьшения диэлектрической постоянной

1.3. Классификация low-k материалов.

1.4. Методы производства low-k материалов.

1.5. Методы диагностики low-k материалов.

1.6. Свойства low-k материалов

1.7. Модификация low-k материалов в технологических процессах.

Глава 2. Материалы и методы исследования.

2.1. Исследуемые low-k материалы.

2.2. Экспериментальные установки

2.2.1. Установка для обработки low-k пленок атомами кислорода и водорода

2.2.2. Установка для гелиевой предобработки low-k пленок.

2.3. Методы объемной и поверхностной диагностики low-k пленок.

2.4. Система актинометрической диагностики.

2.5. Метод измерения вероятности гибели атомов О и Н на поверхности low-k пленок.

2.6. Система зондовой диагностики.

Глава 3. Механизм модификации SiOCH low-k пленки атомами кислорода

3.1. Введение.

3.2. Результаты эксперимента

3.3. Монте-Карло модель проникновения атомов кислорода в нанопористую SiOCH пленку. Удаление метильных групп атомами О.

3.4. Выводы.

Глава 4. Влияние гелиевой предобработки на взаимодействие low-k материалов с атомами кислорода и водорода.

4.1. Введение.

4.2. Влияние предобработки на взаимодействие low-k пленок с атомами кислорода и водорода.

4.3. Измерение вероятности гибели атомов кислорода и водорода на low-k пленках

4.4. Механизм запечатывания пор.

4.5. Выводы.

Рекомендованный список диссертаций по специальности «Физика плазмы», 01.04.08 шифр ВАК

Введение диссертации (часть автореферата) на тему «Модификация нанопористых диэлектрических материалов в плазме и ее послесвечения»

Использование low-k материалов (материалов с низкой диэлектрической постоянной) в качестве межслойных диэлектриков в микросхемах является одним из подходов для повышения объемной плотности элементов в микроэлектронных устройствах, известном как закон Мура [1]. Уменьшение толщины диэлектрика при уменьшении характерных размеров элементов микросхем приводит к возникновению наводок между проводниками, разделенными слоем диэлектрика. Использование материала с более низкой диэлектрической постоянной (чем у традиционно использующегося диоксида кремния Si02) позволяет снизить уровень наводок, так как емкостная связь между проводниками обратно пропорциональна диэлектрической постоянной межслойного материала.

Также использование материалов с низкой диэлектрической постоянной позволяет расширить диапазон рабочих частот микросхем, верхняя граница которого приближается к волновому пределу. Поскольку длина электромагнитной волны А связана с диэлектрической постоянной среды, в которой она распространяется (А = с/{к ■ и), где с - скорость света, и - частота электромагнитной волны, к - диэлектрическая постоянная), снижение диэлектрической постоянной межслойного диэлектрика позволяет повысить частоту тактирующего сигнала в микросхеме и избежать перехода в волновой режим распространения сигнала. Также, как видно, скорость распространения сигнала обратно пропорциональна диэлектрической постоянной среды. То есть, использование low-k материалов позволяет снизить задержки распространения управляющих напряжений между элементами микросхемы.

Поскольку одним из направлений совершенствования микроэлектронных устройств является снижение их энергопотребления, важной задачей является уменьшение токов перезарядки межслойной емкости и паразитных токов утечки между проводниками микросхемы. Потребляемая мощность в расчете на один проводник в микросхеме складывается из динамической мощности при изменении напряжения на проводнике (Р = ск(СВых + С'пров + С'вх)/^2) где ос - отношение времени активности проводника к полному времени работы микросхемы, Свых и Свх - выходная и входная емкости транзисторов соответственно, Спров - емкость соединительного проводника, / - рабочая частота, V - рабочее напряжение) и статической мощности утечки, пропорциональной емкости межслойного диэлектрика. Таким образом использование low-k материалов позволяет снизить паразитные потери энергии и тепловыделение микросхемы.

Основные трудности, связанные с использованием low-k материалов при изготовлении микрочипов связаны с необходимостью встраивания процессов их осаждения и травления в них наноструктур в существующие отработанные технологические процессы. Основные направления совершенствования пористых диэлектрических пленок - это уменьшение их диэлектрической постоянной, увеличение механической и температурной стабильности, предотвращение их повреждения при плазменной обработке, увеличение прочности меж-слойных соединений при осаждении low-k пленок на поверхность других материалов и ДР

Диссертация посвящена изучению модификации пористых диэлектрических low-k SiOCH пленок атомами кислорода и водорода, а также установлению механизма запечатывания верхнего пористого слоя пленки для предотвращения этой модификации.

Благодаря высокой химической активности атомов кислорода, обработка в кислородной плазме является одним из основных способов удаления фоторезистивного слоя при производстве микрочппов. Однако, при данной обработке происходит существенная модификация low-k SiOCH пленок, приводящая к увеличению диэлектрической постоянной материала пленки и ухудшению ее механической и температурной стабильности. Для качественной оценки степени взаимодействия атомов кислорода и водорода с пористыми low-k пленками в работе приводятся результаты измерений вероятностей гибели атомов О и Я на исследуемых low-k пленках (данные получены с использованием разработанной экспериментальной установки, описание которой приводится в главе 2). Для выяснения механизма модификации low-k пленок атомами кислорода в работе осуществляется сравнение экспериментальных данных по динамике изменения химической структуры low-k пленок (полученных с использованием рентгенофлуоресцентного анализа, Фурье-ИК спектроскопии и атомно-силовой микроскопии) после обработки атомами О в послесвечении плазмы ВЧ разряда и результатов расчета с использованием разработанной Монте-Карло модели (модификация low-k SiOCH пленок атомами водорода заметно менее выраженная и происходит на временной шкале большего масштаба, поэтому количественный анализ ее механизма в работе не приводится).

Также в работе представлен механизм запечатывания пор верхнего слоя low-k пленок в плазме гелия. Описанная в работе экспериментальная установка за счет размещения образцов с напыленными low-k пленками в различных областях плазмы поверхностно-волнового разряда и ее послесвечении дает возможность раздельного изучения результатов воздействия на low-k пленки ионов гелия в плазме, вакуумного ультрафиолетового излучения (ВУФ) н метастабильных атомов гелия. Механизм запечатывания верхнего пористого слоя определяется по анализу динамики изменения химической структуры low-k пленки с использованием указанных выше поверхностных и объемных диагностик, а эффективность запечатывания верхнего слоя пленки определяется по качественной оценке степени воздействия на предобработанные в гелии low-k пленки атомов кислорода (проводятся измерения вероятности гибели данных атомов на пленках).

Диссертация состоит из четырех глав. Глава 1 посвящена обзору существующей информации по low-k материалам, диагностическим техникам, применяющихся при их изучении и проблемам, возникающим при их использовании в технологических процессах. В главе 2 описаны исследованные low-k материалы и экспериментальные установки, разработанные и используемые для проведения данных исследований. Глава 3 посвящена анализу механизма модификации low-k материалов атомами кислорода и водорода. Глава 4 посвящена анализу механизма «запечатывания» пор в верхнем слое low-k пленки в плазме и послесвечении плазмы гелия. Основные результаты работы представлены в заключении.

Личный вклад автора заключается в следующем. Автором была разработана система актинометрической диагностики плазмы и послесвечения плазмы в реальном времени, которая позволила измерить концентрации активных атомов (кислорода и водорода) в послесвечении емкостного разряда. Также была разработана система зондовой диагностики плазмы, которая позволила измерить плотность ионов и температуру электронов, а также плавающий и плазменный потенциалы в поверхностно-волновом ВЧ разряде в гелии, в котором осуществлялось «запечатывание» пор на поверхности low-k пленки. С использованием данных экспериментальных установок, совместно с диагностиками структуры пленки были проведены измерения характеристик процессов модификации low-k образцов, позволившие понять механизмы изменения внутренней структуры low-k материалов при обработке в различных условиях.

Апробация работы была проведена в процессе публикаций и докладов результатов работы на ряде российских и международных конференций: публикация в журнале «IEEE Transactions on plasma science» (Vol. 37 № 9, pp. 1697-1703), доклады на конференциях: «Advanced Metallization Conference 2008», «Materials, Processes and Reliability for Advanced Interconnects for Micro- and Nanoelectronics - 2009», «62nd Gaseous Electronics Conference» и

7-я Курчатовская Молодежная Научная Школа». На основе положительных отзывов и рецензий по результатам работы можно сделать вывод о возможности применения данной технологии при промышленном производстве микроэлектронных устройств.

Публикации по результатам работы были выполнены в ряде журналов и сборников трудов конференций. Материалы диссертации опубликованы в 5 печатных работах, из них

1 статья в рецензируемом журнале [2], 2 статьи в сборниках трудов конференций [3, 4] и

2 тезиса докладов [5, б].

Похожие диссертационные работы по специальности «Физика плазмы», 01.04.08 шифр ВАК

Заключение диссертации по теме «Физика плазмы», Зырянов, Сергей Михайлович

Основные результаты диссертации

Продемонстрирована возможность измерения вероятности гибели атомов кислорода и водорода на поверхности различных материалов в протоке газа с использованием время-разрешенной актинометрии. Методика измерения описана в разделе 2.5. Вероятность гибели измерялась по изменению плотности атомов за держателем с исследуемым образцом в процессе увеличения площади открытой поверхности образца. Было показано, что за счет использования временной корреляции, измерения вероятности гибели можно осуществлять даже в случае малых концентраций атомов кислорода и водорода, то есть при зашумленных актинометрических сигналах. С использованием данной методики были измерены вероятности гибели атомов кислорода и водорода на различных low-lc SiOCH пленках (3.4 • 10~3).

Показано, что основным процессом, определяющим глубину проникновения атомов кислорода в SiOCH low-k пленку и, следовательно, скорость удаления атомов углерода из объема пленки является рекомбинация атомов кислорода с адсорбированными на стенках пор атомами О (с вероятностью рекомбинации близкой к аналогичной величине для рекомбинации на поверхности материалов на основе кварца 2 . 4 • Ю-4)). Подбор параметров для наилучшего согласия результатов расчета с экспериментальными данными по удалению углерода позволил оценить вероятность реакции удаления метильной группы атомом кислорода при Т~300 К. Как и ожидалось, эта вероятность оказалась малой 1. 2 • Ю-5). Следовательно, процесс рекомбинации атомов кислорода на внутренней поверхности пор определяет форму профиля плотности атомов по глубине пленки, в то время как процесс удаления углерода идет на его фоне. Так как плотность атомов кислорода максимальна вблизи поверхности пленки, процесс удаления углерода напоминает волну реакции, идущей от верхних слоев вглубь low-k материала с уменьшением скорости распространения этой волны со временем.

Установлен механизм запечатывания верхнего (< 10 нм) пористого слоя low-k SiOCH пленки в плазме, и показано, что вероятность гибели атомов на пленках существенно уменьшается после предобработки образцов в плазме гелия. Механизм запечатывания реализуется только при одновременном воздействии ионов гелия и ВУФ фотонов (а также при аналогичном воздействии метастабильпых атомов Не*). Интенсивное поглощение

ВУФ фотонов углеводородами в остаточных порогенах, а также метильными группами приводит к разрушению углерод-водородных связей и их перестройке в новую структуру, состоящую из цепочек атомов углерода и СН2. Бомбардировка низкоэнергегичными ионами Не+ стимулирует удаление водорода из неупорядоченной углеводородной структуры, созданной ВУФ фотонами и атомами Не* и индуцирует ее преобразование в плотную углеродную сетку (вероятно в sp2 фазе), соединенную с атомами кремния на стенках пор. Согласно AFM и FTIR измерениям, при гелиевой предобработке не происходит модификации Si — О — Si структуры ВУФ фотонами и ионами гелия низких энергий (20-30 эВ). Согласно измерениям, вероятность гибели атомов на low-k пленках после предобработки в плазме гелия значительно уменьшается, что говорит об эффективности механизма запечатывания верхнего пористого слоя и снижении степени проникновения и рекомбинации атомов в пористых каналах.

Очевидно, что эффективность данного механизма запечатывания пор зависит от многих параметров, и его оптимизация зависит от типа предобрабатываемого low-k материала, характеристик плазмы, требовании технологического процесса и т. д. Однако, понятно, что запечатывание будет более эффективным в напопористых пленках с более высоким содержанием углерода и остаточных порогенов. Принимая во внимание тот факт, что увеличение содержание углерода уменьшает диэлектрическую постоянную low-k материала, методика запечатывания пор выглядит особенно привлекательно применительно к наиболее перспективным нанопористым углеродсодержащим материалам с наименьшими значениями диэлектрической постоянной.

Благодарности

Автор выражает благодарность Александру Турсуновичу Рахимову за чуткое научное руководство и конструктивные замечания по работе. Автор признателен Дмитрию Викторовичу Лопаеву и Татьяне Викторовне Рахимовой за помощь при выполнении работы и получение возможности представить ее результаты на российских и международных конференциях. Автор также благодарен сотрудникам отдела микроэлектроники НИИЯФ МГУ Олегу Владимировичу Брагинскому, Анне Николаевне Васильевой, Дмитрию Григорьевичу Волошину, Александру Сергеевичу Ковалеву, Евдокиму Михайловичу Малыхину, Юрию Александровичу Манкелевичу, Ольге Вячеславовне Прошиной, Михаилу Аркадьевичу Тимофееву, а также сотруднику IMEC Михаилу Родионовичу Бакланову, при непосредственном участии которых получены результаты, представленные в работе.

Заключение

Список литературы диссертационного исследования кандидат физико-математических наук Зырянов, Сергей Михайлович, 2010 год

1. Moore G. E. Cramming more components onto integrated circuits // Electronics Magazine. 1965.-April. Vol. 38, no. 8.

2. International Technology Roadmap for Semiconductors. 2001.

3. Ландау Л. Д., Лифшиц Е. М. Теоретическая физика. 4 изд. Москва: Физматлит, 2003. Т. 8.

4. Ландау Л. Д., Лифшиц Е. М. Теоретическая физика. 6 изд. Москва: Физматлит, 2004. Т. 3.

5. Hrubesh L. W., Keene L. Е., Latorre V. R. Dielectric properties of aerogels // Journal of Materials Research. 1993. Vol. 8, no. 7. Pp. 1736-1741.

6. Maex K., Baklanov M. R., Shamiryan D., Iacopi F., Brongersma S. H., Yanovitskaya Z. S. Low dielectric constant materials for microelectronics // Journal of Applied Physics. 2003. Vol. 93, no. II. Pp. 8793-8841.

7. Suzuki T. Bandgap anomaly, atomic ordering, and their applications // MRS Bulletin. 1997. Vol. 22, no. 7. Pp. 33-37.

8. Ryan E. Т., McKerrow A. J., Leu J. P., Ho P. S. Materials Issues and Characterization of Low-k Materials for Submicron Interconnect Applications // MRS Bulletin. 1997. Vol. 22, no. 10. Pp. 49-54.

9. Grill A. Amorphous carbon based materials as the interconnect dielectric in ULSI chips // Diamond and Related Materials. 2001. Vol. 10, no. 2. Pp. 234-239.

10. Grill A. Electrical and optical properties of diamond-like carbon // Thin Solid Films. 1999. Vol. 355-356.

11. Yang H., Tweet D. J., Ma Y., Nguyen T. Deposition of highly crosslinked fluorinated amorphous carbon film and structural evolution during thermal annealing // Applied Physics Letters. 1998. Vol. 73, no. 11. Pp. 1514-1516.

12. Yi J. W., Lee Y. H., Farouk B. Low dielectric fluorinated amorphous carbon thin films grown from C6F6 and Ar plasma // Thin Solid Films. 2000. Vol. 374, no. 1. Pp. 103-108.

13. Baklanov M. R., Kondoh E., Lin E. K, Gidley D. W., Lee H. J., Mogilnikov K. P., Sun J. N. Comparative study of porous SOG films with different non-destructive instrumentation //

14. Proceedings of the IEEE 2001 International Interconnect Technology Conference. 2001. Pp. 189-191.

15. Schtith F., Schmidt W. Microporous and Mesoporous Materials // Advanced Materials. 2002. Vol. 14, no. 9. Pp. 629-638.

16. Mintova S., Olson N. H., Valtchev V., Bein T. Mechanism of Zeolite A Nanocrystal Growth from Colloids at Room Temperature // Science. 1999. — February. Vol. 283, no. 5404. Pp. 958-960.

17. Fan H., Bentley H. R., Kathan K. R., Clem P., Lu Y., Brinker C. J. Self-assembled aerogel-like low dielectric constant films // Journal of Non-Crystalline Solids. 2001.— June. Vol. 285. Pp. 79-83.

18. Brinker C. J., Sehgal R., Hietala S. L., Deshpande R., Smith D. M., Loy D., Ashley C. S. Sol-gel strategies for controlled porosity inorganic materials // Journal of Membrane Science. 1994. — September. Vol. 94, no. 1. Pp. 85-102.

19. Lu Y., Cao G., Kale R. P., Prabakar S., Lopez G. P., Brinker C. J. Microporous Silica Prepared by Organic Templating: Relationship between the Molecular Template and Pore Structure // Chemistry of Materials. 1999, —May. Vol. 11, no. 5. Pp. 1223-1229.

20. Petkov M. P., Weber M. H., Lynn K. G., Rodbell K. P. Porosity characterization by beam-based three-photon positron annihilation spectroscopy // Applied Physics Letters. 2001. Vol. 79, no. 23. Pp. 3884-3886.

21. Hedrick J. L., Miller R. D., Hawker C. J., Carter K. R., Volksen W., Yoon D. Y., Trollses M. Templating Nanoporosity in Thin-Film Dielectric Insulators // Advanced Materials. 1998. Vol. 10, no. 13. Pp. 1049-1053.

22. Chung K., Zhang S. U.S. Patent No. 6,313,045, 2001.

23. Sivaram S. Chemical Vapor Deposition. New York: McGraw-Hill, 1995.

24. Baklanov M. R., Vasilyeva L. L. Composition, structure and modification of passivat-ing films on semiconductors deposited at low temperatures // Materials Science Forum. Vol. 185-188. 1995. Pp. 65-71.

25. Baklanov M. R., Vasilyeva L. L., Gavrilova T. A., Dultsev F. N., Mogilnikov K. P., Nena-sheva L. A. Porous structure of Si02 films synthesized at low temperature and pressure // Thin Solid Films. 1989.—April. Vol. 171, no. 1. Pp. 43-52.

26. Dultsev F. N., Nenasheva L. A., Vasilyeva L. L. Irregular Surface and Porous Structure of Si02 Films Deposited at Low Temperature and Low Pressure // Journal of The Electrochemical Society. 1998. Vol. 145, no. 7. Pp. 2569-2572.

27. Homma T. Low dielectric constant materials and methods for interlayer dielectric films in ultralarge-scale integrated circuit multilevel interconnections // Materials Science and Engineering: R: Reports. 1998. — September. Vol. 23, no. 6. Pp. 243-285.

28. Usami Т., Shimokawa K., Yoshimaru M. Low Dielectric Constant Interlayer Using Fluorine-Doped Silicon Oxide // Japanese Journal of Applied Physics. 1994. Vol. 33. Pp. 408-412.

29. Yoo W. S., Swope R., Mordo D. Plasma Enhanced Chemical Vapor Deposition and Characterization of Fluorine Doped Silicon Dioxide Films // Japanese Journal of Applied Physics. 1997. Vol. 36. Pp. 267-275.

30. Lee S. M., Park M., Park К. C., Bark J. Т., Jang J. Low Dielectric Constant Fluorinated Oxide Films Prepared by Remote Plasma Chemical Vapor Deposition // Japanese Journal of Applied Physics. 1996. Vol. 35. Pp. 1579-1582.

31. Kudo H., Shinohara R., Takeishi S., Awaji N., Yamada M. Densified SiOF Film Formation for Preventing Water Absorption // Japanese Journal of Applied Physics. 1996. Vol. 35. Pp. 1583-1587.

32. Homma T. Properties of Fluorinated Silicon Oxide Films Formed Using Fluorotriethoxysi-lane for Interlayer Dielectrics in Multilevel Interconnections // Journal of The Electrochemical Society. 1996. Vol. 143, no. 3. Pp. 1084-1087.

33. Loboda M. J., Seifferly J. A., Grove С. M., Schneider R. F. Safe Precursor Gas for Broad Replacement of SiH4 in Plasma Processes Employed in Integrated Circuit Production. 1997. Vol. 447. Pp. 145-151.

34. Loboda M. J. New solutions for intermetal dielectrics using trimethylsilane-based PECVD processes // Microelectronic Engineering. 2000. — January. Vol. 50. Pp. 15-23.

35. Park J. M., Rhee S. W. Remote Plasma-Enhanced Chemical Vapor Deposition of Nanoporous Low-Dielectric Constant SiCOH Films Using Vinyltrimethylsilane // Journal of The Electrochemical Society. 2002. Vol. 149, no. 8. Pp. F92-F97.

36. Lewis H. G., Edell D. J., Gleason К. K. Pulsed-PECVD Films from Hexamethylcy-clotrisiloxane for Use as Insulating Biomaterials // Chemistry of Materials. 2000. — November. Vol. 12, no. 11. Pp. 3488-3494.

37. Grill A. From tribological coatings to low-k dielectrics for ULSI interconnects // Thin Solid Films. 2001.— November. Vol. 398-399. Pp. 527-532.

38. Grill A., Patel V. Ultralow-k dielectrics prepared by plasma-enhanced chemical vapor deposition // Applied Physics Letters. 2001. Vol. 79, no. 6. Pp. 803-805.

39. Shamiryan D. G., Baklanov M. R., Vanhaelemeersch S., Maex K. Controllable Change of Porosity of 3-Methylsilane Low-k Dielectric Film // Electrochemical and Solid-State Letters. 2001. Vol. 4, no. 1. Pp. F3-F5.

40. Stucchi M., Maex К. Frequency dependence in interline capacitance measurements // IEEE Transactions on Instrumentation and Measurement. 2002. — June. Vol. 51, no. 3. Pp. 537-543.

41. Gidley D. W., Frieze W. E., Dull T. L., Sun J. N., Yee A. F. Probing Pore Characteristics in Low-K Thin Films Using Positronium Annihilation Lifetime Spectroscopy. 2000. Vol. 612. Pp. D4.3-D4.4.

42. Gidley D. W., Frieze W. E., Dull T. L., Sun J., Yee A. F., Nguyen С. V., Yoon D. Y. Determination of pore-size distribution in low-dielectric thin films // Applied Physics Letters. 2000. Vol. 76, no. 10. Pp. 1282-1284.

43. Lee S. Т., Wang N., Zhang Y. F., Tang Y. H. Oxide-assisted semiconductor nanowire growth // MRS Bulletin. 1999. Vol. 24, no. 8. Pp. 36-42.

44. Gregg S. J., Sing S. W. Adsorption, Surface Area and Porosity. 2 edition. New York: Academic, 1982.

45. Baklanov M. R., Mogilnikov K. P. Characterisation of Low-K Dielectric Films by Ellipso-inetric Porosimetry // MRS Proceedings. Vol. 612. 2000. P. D4.2.

46. Baklanov M. R., Mogilnikov K. P. Non-destructive characterisation of porous low-k dielectric films // Microelectronic Engineering. 2002. — October. Vol. 64. Pp. 335-349.

47. Horvath G., Kawazoe K. Method for the calculation of effective pore size distribution in molecular sieve carbon // Journal of Chemical Engineering of Japan. 1983. Vol. 16, no. 6. Pp. 470-475.

48. Saito A., Foley H. C. Curvature and parametric sensitivity in models for adsorption in micropores // AIChE Journal. 1991. Vol. 37, no. 3. Pp. 429-436.

49. Dultsev F. N., Baklanov M. R. Nondestructive Determination of Pore Size Distribution in Thin Films Deposited on Solid Substrates // Electrochemical and Solid-State Letters. 1999. Vol. 2, no. 4. Pp. 192-194.

50. VanLandingham M. R., Villarrubia J. S., Guthrie W. F., Meyers G. F. Nanoindentation of polymers: an overview // Macromolecular Symposia. 2001. Vol. 167, no. 1. Pp. 15-44.

51. Hillebrands B. Progress in multipass tandem Fabry-Perot interferometry: I. A fully automated, easy to use, self-aligning spectrometer with increased stability and flexibility // Review of Scientific Instruments. 1999. Vol. 70, no. 3. Pp. 1589-1598.

52. Mogilnikov K. P., Baklanov M. R. Determination of Young's Modulus of Porous Low-k Films by Ellipsometric Porosimetry // Electrochemical and Solid-State Letters. 2002. Vol. 5, no. 12. Pp. F29-F31.

53. Yokota K., Kitagawa Т., Yamamoto D., Nakamura K., Miyashita F. Luminescence from hydrogenated amorphous silicon treated in microwave hydrogen plasma, KOH solution, and oxygen atmosphere // Thin Solid Films. 1999. — April. Vol. 343-344. Pp. 191-194.

54. Bodo P., Sundgren J. E. Adhesion of evaporated titanium films to ion-bombarded polyethylene // Journal of Applied Physics. 1986. Vol. 60, no. 3. Pp. 1161-1168.

55. Loh R. L., Rossington C., Evans A. G. Laser Technique for Evaluating Spall Resistance of Brittle Coatings // Journal of the American Ceramic Society. 1986. Vol. 69, no. 2. Pp. 139-142.

56. Chalker P. R., Bull S. J., Rickerby D. S. A review of the methods for the evaluation of coating-substrate adhesion // Materials Science and Engineering: A. 1991. — July. Vol. 140. Pp. 583-592.

57. Lane M., Dauskardt R. H., Vainchtein A., Gao H. Plasticity contributions to interface adhesion in thin-film interconnect structures // Journal of Materials Research. 2000. — December. Vol. 15, no. 12. Pp. 2758-2769.

58. Lau S. H., Tolentino E., Lim Y., Tolentino E., Koo A. Advanced metrology for rapid characterization of the thermal mechanical properties of low-k dielectric and copper thin films // Journal of Electronic Materials. 2001. Vol. 30, no. 4. Pp. 299-303.

59. Loboda M. J., Grove С. M., Schneider R. F. Properties of a-SiOx:H Thin Films Deposited from Hydrogen Silsesquioxane Resins // Journal of The Electrochemical Society. 1998. Vol. 145, no. 8. Pp. 2861-2866.

60. Albrecht M. G., Blanchette C. Materials Issues with Thin Film Hydrogen Silsesquioxane Low К Dielectrics // Journal of The Electrochemical Society. 1998. Vol. 145, no. 11. Pp. 4019-4025.

61. Belot V., Corriu R., Leclercq D., Mutin P. H., Vioux A. Thermal reactivity of hy-drogenosilsesquioxane gels // Chemistry of Materials. 1991. Vol. 3, no. 1. Pp. 127-131.

62. Furusawa Т., Ryuzaki D., Yoneyama R., Homma Y., Hinode K. Heat and Moisture Resistance of Siloxane-Based Low-Dielectric-Constant Materials // Journal of The Electrochemical Society. 2001. Vol. 148, no. 9. Pp. F175-F179.

63. Proost J., Kondoh E., Vereccke G., Heyns M., Maex K. Critical role of degassing for hot aluminum filling // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 1998. Vol. 16, no. 4. Pp. 2091-2098.

64. Sugahara S., Kadoya Т., Usami K.-i., Hattori Т., Matsumura M. Preparation and Characterization of Low-k Silica Film Incorporated with Methylene Groups // Journal of The Electrochemical Society. 2001. Vol. 148, no. 6. Pp. F120-F126.

65. Martin S. J., Godschalx J. P., Mills M. E., Shaffer E. O., Townsend P. H. Development of a Low-Dielectric-Constant Polymer for the Fabrication of Integrated Circuit Interconnect // Advanced Materials. 2000. Vol. 12, no. 23. Pp. 1769-1778.

66. Cahill D. G., Fischer H. E., Klitsner Т., Swartz E. Т., Pohl R. O. Thermal conductivity of thin films: Measurements and understanding // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 1989. Vol. 7, no. 3. Pp. 1259-1266.

67. Costescu R. M., Bullen A. J., Matamis G., O'Hara К. E., Cahill D. G. Thermal conductivity and sound velocities of hydrogen-silsesquioxane low-k dielectrics // Physical Review B. 2002. — February. Vol. 65, no. 9. P. 094205.

68. Ни C., Morgen M., Ho P. S., Jain A., Gill W. N. Plawsky J. L., Wayner P. C. Thermal conductivity study of porous low-k dielectric materials // Applied Physics Letters. 2000. Vol. 77, no. 1. Pp. 145-147.

69. Morgen M., Ryan E. Т., Zhao J. H., Ни C., TaiheuiCho Т., Ho P. S. Low Dielectric Coonstant Materials for ULSI Interocnnects // Annual Review of Materials Science. 2000. Vol. 30, no. 1. Pp. 645-680.

70. Sugahara S., Usami K.-i., Matsumura M. A Proposed Organic-Silica Film for Inter-Met-aLDielectric Application // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 1428-1432.

71. Yasutaka U., Takashi K., Satoshi S., Masakiyo M. Chemical Vapor Deposition Based Preparation on Porous Silica Films // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. L1155-L1157.

72. Cluzel J., Mondon F., Loquet Y., Morand Y., Reimbold G. Electrical characterization of low permittivity materials for ULSI inter-metal-insulation // Microelectronics Reliability. 2000.-April. Vol. 40. Pp. 675-678.

73. Aoi N., Fukuda Т., Yanazawa H. Evaluation and analysis for mechanical strengths of low к dielectrics by a finite element method // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 72-74.

74. Hashin Z., Shtrikman S. A variational approach to the theory of the elastic behaviour of multiphase materials // Journal of the Mechanics and Physics of Solids. 1963. —April. Vol. 11, no. 2. Pp. 127-140.

75. Andideh E., Scherban Т., Sun В., Blaine J., Block C., Jin B. Interfacial adhesion of copper-low к interconnects // Proceedings of the IEEE 2001 International Interconnect Technology Conference. 2001. Pp. 257-259.

76. Kloster G., Scherban Т., Xu G., Blaine J., Sun В., Zhou Y. Porosity effects on low-k dielectric film strength and interfacial adhesion // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 242-244.

77. Wu W.-L., Liou H.-C. Study of ultra-thin hydrogen silsesquioxane films using X-ray reflectivity // Thin Solid Films. 1998. — January. Vol. 312. Pp. 73-77.

78. Ito S., Homma Y., Sasaki E., Uchimura S., Morishima H. Application of Surface Reformed Thick Spin-on-Glass to MOS Device Planarization // Journal of The Electrochemical Society. 1990. Vol. 137, no. 4. Pp. 1212-1218.

79. Kondoh E., Asano Т., Arao H., Nakashima A., Komatsu M. Dehydration after Plasma

80. Oxidation of Porous Low-Dielectric-Constant Spin-on-Glass Films // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. 3919-3923.

81. Kondoh E., Asano Т., Nakashiina A., Komatu M. Effect of oxygen plasma exposure of porous spin-on-glass films // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 2000. Vol. 18, no. 3. Pp. 1276-1280.

82. Kondoh E., Baklanov M. R., Bender H., Maexc K. Structural Change in Porous Silica Thin Film after Plasma Treatment // Electrochemical and Solid-State Letters. 1998. Vol. 1, no. 5. Pp. 224-226.

83. Standaert Т. E. F. M., Matsuo P. J., Allen S. D., Oehrlein G. S., Dalton T. J., Lu Т. M., Gutmann R. High-Density Plasma Etching of Low-Dielectric Constant Materials // MRS Proceedings. Vol. 511. 1998. Pp. 265-275.

84. Wei T.-C., Liu C.-H., Shieh J.-M., Suen S.-C., Dai B.-T. Plasma Treatment and Dry Etch Characteristics of Organic Low-$k$ Dielectrics // Japanese Journal of Applied Physics. 2000. Vol. 39. Pp. 7015-7018.

85. Standaert Т. E. F. M., Joseph E. A., Oehrlein G. S., Jain A., Gill W. N., Wayner P. C., Plawsky J. L. Etching of xerogel in high-density fluorocarbon plasmas // Journal of

86. Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2000. Vol. 18, no. 6. Pp. 2742-2748.

87. Liu P.-T., Chang T.-C., Yang Y.-L., Cheng Y.-F., Shih F.-Y., Lee J.-K., Tsai E., Sze S. M. Effectively Blocking Copper Diffusion at Low-k Hydrogen Silsesquioxane/Copper Interface // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 6247-6252.

88. Liu P.-T., Chang T.-C., Мог Y.-S., Sze S. M. Enhancing the Oxygen Plasma Resistance of Low-k Methylsilsesquioxane by H2 Plasma Treatment // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 3482-3486.

89. Furusawa Т., Ryuzaki D., Yoneyama- R., Homma Y., Hinode K. Oxygen Plasma Resistance of Low-k Organosilica Glass Films // Electrochemical and Solid-State Letters. 2001. Vol. 4, no. 3. Pp. G31-G34.

90. Joubert 0., Pelletier J., Fiori C., Nguyen Tan T. A. Surface mechanisms in 02 and SF6 microwave plasma etching of polymers // Journal of Applied Physics. 1990. Vol. 67, no. 9. Pp. 4291-4296.

91. Joubert 0., Fiori C., Oberlin J. C., Paniez P., Pelletier J., Pons M., Vachette Т., Weill A. Resist degradation under plasma exposure: Synergistic effects of ion bombardment // Journal of Applied Physics. 1991. Vol. 69, no. 3. Pp. 1697-1702.

92. Kim S. H., Moon H. S., Woo S. G., Ahn J. Study on the Properties of Interlayer Low Dielectric Polyimide during Cl-Based Plasma Etching of Aluminum // Japanese Journal of Applied Physics. 1999. Vol. 38. Pp. 7122-7125.

93. Rogers С. E. Polymer Permeability, Ed. by J. Comyn. Amsterdam: Elsevier, 1985. Pp. 11-73.

94. Ritala M., Leskela M. Handbook of Thin Film Materials, Ed. by H. S. Nalwa. New York: Academic, 2002. Vol. 1. Pp. 103-159.

95. Shamiryan D., Baklanov M. R., Tokei Z., Iacopi F., Maex K. Evaluation of Ta(N) diffusion barrier integrity on porous low-k films // Advanced Metallization Conference 2002. 2002. Pp. 279-285.

96. Iacopi F., Tokei Z., Shamiryan D., Le T. Q., Malhouitre S., Van Hove M., Maex K. Overcoming integrity issues of I-PVD deposited Ta(N) barriers on inorganic porous low-k's // Advanced Metallization Conference 2002. 2002. Pp. 61-66.

97. Ryan E. Т., Martin J., Junker K., Lee J. J., Guenther Т., Wetzel J., Lin S., Gidley D. W., Sun J. Integration damage in organosilicate glass films // Proceedings of the IEEE 2002 International Interconnect Technology Conference. 2002. Pp. 27-29.

98. Grill A., Patel V. The Effect of Plasma Chemistry on the Damage Induced to Porous SiCOH Dielectrics // Journal of The Electrochemical Society. 2006. Vol. 153, no. 8. Pp. F169-F175.

99. Fuller N. С. M., Worsley M. A., Tai L., Bent S., Labelle C., Arnold J., Dalton T. Plasma ash processing solutions for advanced interconnect technology // Thin Solid Films. 2008. — April. Vol. 516, no. 11. Pp. 3558-3563.

100. Kovalev A. S., Lopaev D. V., Mankelevich Y. A., Popov N. A., Rakhimova Т. V., Poroykov A. Y., Carroll D. L. Kinetics of 02blSigma in oxygen RF discharges // Journal of Physics D: Applied Physics. 2005.— July. Vol. 38, no. 14. Pp. 2360-2370.

101. Cartry G., Magne L., Cernogora G. Atomic oxygen recombination on fused silica: experimental evidence of the surface state influence // Journal of Physics D: Applied Physics. 1999. Vol. 32, no. 15. Pp. L53-L56.

102. Kim Y. C., Boudart M. Recombination of oxygen, nitrogen, and hydrogen atoms on silica: kinetics and mechanism // Langmuir. 1991. — December. Vol. 7, no. 12. Pp. 2999-3005.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.