Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем тема диссертации и автореферата по ВАК РФ 05.13.12, кандидат технических наук Плотников, Павел Владимирович

  • Плотников, Павел Владимирович
  • кандидат технических науккандидат технических наук
  • 2008, Владимир
  • Специальность ВАК РФ05.13.12
  • Количество страниц 174
Плотников, Павел Владимирович. Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем: дис. кандидат технических наук: 05.13.12 - Системы автоматизации проектирования (по отраслям). Владимир. 2008. 174 с.

Оглавление диссертации кандидат технических наук Плотников, Павел Владимирович

ВВЕДЕНИЕ.

1. СОСТОЯНИЕ В ОБЛАСТИ ПРОЕКТИРОВАНИЯ СИСТЕМ ЦИФРОВОЙ ФИЛЬТРАЦИИ.

1.1. Классификация систем цифровой фильтрации и формы их аппаратных реализаций.

1.1.1. Классификация систем цифровой фильтрации.

1.1.2. Классификация цифровых фильтров.

1.1.3. Задание требований к цифровым фильтрам.

1.1.4. Формы аппаратных реализаций цифровых фильтров.

1.2. Обзор элементной базы систем цифровой фильтрации.

1.2.1. Классификация цифровых интегральных микросхем.

1.2.2. Классификация логических микросхем программируемой логики

1.2.3. Анализ современных ПЛИС и стандартных ячеек заказных микросхем, используемых при проектировании цифровых фильтров.

1.3. Возможности современных САПР системного уровня по проектированию цифровых фильтров.

1.3.1. САПР System Vue фирмы Elanix.

1.3.2. САПР Matlab фирмы Mathworks.

1.3.3. САПР Advanced Design System фирмы Agilent Technologies.

1.4. Возможности современных САПР ПЛИС.

1.4.1. САПР фирмы Xiiinx.

1.4.2. САПР фирмы Altera.

1.5. Возможности современных САПР заказных микросхем.

1.5.1. САПР фирмы Cadence.

1.5.2. САПР фирмы Synopsys.

1.5.3. САПР фирмы Mentor Graphics.

1.6. Цель и постановка задачи исследований.

1.7. Выводы.

2. РАЗРАБОТКА МЕТОДОВ АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯ СИСТЕМ ЦИФРОВОЙ ФИЛЬТРАЦИИ.

2.1. Обобщенная модель системы цифровой фильтрации.

2.1.1. Базовые блоки системы цифровой фильтрации.

2.1.2. Математический аппарат модели системы цифровой фильтрациибО

2.1.3. Иерархия моделей системы цифровой фильтрации.

2.1.4. Модель системы цифровой фильтрации на системном уровне.

2.1.5. Модель системы цифровой фильтрации на функциональном уровне.

2.1.6. Модель системы цифровой фильтрации на компонентном уровне.

2.1.7. Применение направленных сигнальных графов для многоканальных и многоскоростных систем.

2.2. Методика автоматизированного проектирования систем цифровой фильтрации.

2.2.1. Определение требований к системе цифровой фильтрации.

2.2.2. Структурный синтез системы цифровой фильтрации.

2.2.3. Параметрический синтез цифровых фильтров.

2.2.4. Оптимизация направленного сигнального графа.

2.2.5. Оценка характеристик аппаратной реализации.

2.2.6. Выбор архитектуры аппаратной реализации цифровых фильтров

2.2.7. Оптимизация коэффициентов цифровых фильтров.

2.2.8. Синтез HDL описаний цифровых фильтров и модуля верхнего уровня.

2.2.9. Синтез тестовой обвязки для функциональной верификации и функциональное моделирование.

2.2.10. Логический синтез.

2.2.11. Критерии оценки аппаратных реализаций систем цифровой фильтрации.

2.3. Выводы.

3. ИССЛЕДОВАНИЕ И ПРАКТИЧЕСКОЕ ВНЕДРЕНИЕ РАЗРАБОТАННЫХ МЕТОДОВ.

3.1. Маршрут проектирования систем цифровой фильтрации на основе предлагаемой методики.

3.2. Разработка лингвистического, информационного и программного обеспечения подсистемы САПР.

3.2.1. Лингвистическое обеспечение.

3.2.2. Информационное обеспечение.

3.2.3. Программное обеспечение.

3.3. Исследование и внедрение разработанной подсистемы САПР.

3.3.1. Структурный синтез системы цифровой фильтрации стандарта DECT и параметрический синтез субфильтров.

3.3.2. Исследование метода оптимизации коэффициентов ЦФ.

3.3.3. Функциональная верификация системы цифровой фильтрации стандарта DECT.

3.3.4. Разработка системы цифровой фильтрации в базисе заказных микросхем.

3.3.5. Сравнение с коммерческой САПР.

3.4. Выводы.

Рекомендованный список диссертаций по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Введение диссертации (часть автореферата) на тему «Автоматизация проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем»

Цифровая обработка сигналов (ЦОС) - это одна из наиболее быстро развивающихся отраслей современной электроники, которая применяется в любой области, где информация содержится в цифровом виде или контролируется цифровым процессором. В настоящее время ЦОС используется во многих областях, где раньше применялись аналоговые методы, кроме того, появились совершенно новые области применения, где было сложно или невозможно пользоваться аналоговыми устройствами. Привлекательность ЦОС обусловлена такими основными преимуществами, как гарантированная точность, которая определяется только числом задействованных битов, совершенная воспроизводимость, отсутствие искажения характеристик из-за температуры или старости и большая гибкость.

Методы ЦОС лежат в основе многих новых и только появляющихся продуктов и приложений, связанных с цифровой информацией, которые поддерживают существование информационного общества. Таким продуктам и приложениям приходится собирать, обрабатывать, анализировать, передавать, выводить на экран и/или сохранять существующую информацию, часто в реальном времени. Возможности методов ЦОС обрабатывать реальную информацию в цифровом виде позволяют создавать доступные, технически прогрессивные продукты и приложения высокого качества для широкого потребительского рынка (например, цифровые сотовые мобильные телефоны, цифровое телевидение, цифровые фото- и видеокамеры, видеоигры).

Одной из операций ЦОС, имеющих первостепенное значение, является цифровая фильтрация. Основными целями фильтрации являются улучшение качества сигнала (например, устранение или снижение помех), извлечение из сигналов информации или разделение нескольких сигналов, объединенных ранее для эффективного использования доступного канала связи. Цифровые фильтры, по сравнению с аналоговыми, предпочтительны во множестве областей (например, сжатие данных, биомедицинская обработка сигналов, обработка речи, обработка изображений, передача данных, цифровое аудио, телефонное эхоподавление), так как обладают рядом преимуществ:

• Цифровые фильтры могут иметь характеристики, получить которые на аналоговых фильтрах невозможно, например, действительно линейную фазовую характеристику.

• В отличие от аналоговых, производительность цифровых фильтров не зависит от изменений среды, например, от колебаний температуры. Следовательно, цифровые фильтры не требуют периодической калибровки.

• Один цифровой фильтр может обрабатывать несколько входных сигналов или каналов без дублирования аппаратных блоков.

• На практике точность, которой можно добиться при использовании аналоговых фильтров, ограничена. Например, затухание в полосе подавления нельзя поднять выше 60-70 дБ (если использовать стандартные аналоговые компоненты). Точность цифровых фильтров ограничена только длиной слова.

• Цифровые фильтры могут использоваться при очень низких частотах, характерных для биомедицинских приложений, где применять аналоговые фильтры непрактично.

Разработка систем цифровой фильтрации возможна несколькими способами. Первым способом является программная реализация, при которой алгоритм цифровой фильтрации описывается на языке высокого уровня и исполняется на специализированном сигнальном процессоре. Во втором случае цифровые фильтры проектируются аппаратно, т.е. в виде набора определенным образом соединенных между собой блоков, таких как сумматоры, умножители, элементы задержки и т.д. Использование цифровых сигнальных процессоров не позволяет создавать системы ЦОС высокой производительности. Хотя прогресс в области микропроцессорной техники в последние годы достиг определенных высот и тактовые частоты микросхем увеличились до нескольких гигагерц, в ряде задач обработки сигналов их производитель7 ности недостаточно. Причиной этого является последовательное исполнение команд программы блоками микропроцессора. Производительность аппаратных реализаций систем цифровой фильтрации, по сравнению с программными, выше в десятки и сотни раз. Это позволяет их использовать для построения многоканальных систем с частотами дискретизации в десятки мегагерц, работающих в реальном времени. Такие системы используются в средствах связи для построения широкополосных цифровых приемников для выделения рабочих полос сигналов, подавления паразитных частот и улучшения качества приема. Таким образом, можно сделать вывод, что для реализации высокопроизводительных систем цифровой фильтрации целесообразно применение аппаратных реализаций.

Программируемые логические интегральные схемы (ПЛИС) широко используются для создания аппаратных цифровых фильтров. Основными достоинствами ПЛИС являются: возможность программирования пользователем; высокая производительность; развитые архитектуры, оптимизированные для задач ЦОС; наличие мощных средств САПР, поддерживающие ввод проекта на языках описания аппаратуры УНОЬ и Уеп1о§. Логическая емкость современных микросхем ПЛИС составляет десятки тысяч ячеек, а тактовые частоты - несколько сотен мегагерц. При этом сроки проектирования устройств на ПЛИС могут составлять от нескольких дней до нескольких недель. Все это позволяет успешно использовать ПЛИС для создания сложных устройств ЦОС.

Серьезным недостатком ПЛИС является относительно высокая стоимость кристаллов и большое энергопотребление, что делает их использование экономически выгодным только при производстве мелких и средних партий изделий. Необходимость массового выпуска устройств и понижения их энергопотребления вынуждает разработчиков переходить на базис заказных микросхем. В этом случае, проектировщик на основе стандартных ячеек формирует схему разрабатываемого устройства и выполняет проектирование топологии кристалла. Особенностью заказных микросхем является высокая 8 стоимость одной итерации проектирования, которая может составлять десятки и сотни тысяч долларов. Поэтому при разработке аппаратных реализаций цифровых устройств принят подход, при котором отладка проекта выполняется в ПЛИС, а верифицированное решение переносится в базис заказных микросхем. Этот компромиссный вариант позволяет уменьшить сроки и стоимость проектирования изделий.

При проектировании систем цифровой фильтрации проектировщик должен учитывать множество противоречивых требований. Важным требованием является качество фильтрации сигнала и вычислительные затраты при заданной производительности. На качество фильтрации сигнала влияют частотные характеристики разработанного фильтра и разрядность данных. Под производительностью обычно понимается способность обрабатывать сигнал с определенной частотой дискретизации в реальном времени. Системы с хорошим значением подавления в полосе заграждения и с малой неравномерностью, обычно, требуют для реализации большой площади кристалла и имеют значительное энергопотребление. Сокращение потребляемой мощности и площади ведет к ухудшению характеристик фильтра. Поэтому задача проектирования аппаратных систем цифровой фильтрации обычно формулируется следующим образом: получить систему с заданными частотными характеристиками определенной производительности при наименьшем используемом логическом ресурсе и с минимальным энергопотреблением.

Процесс проектирования систем цифровой фильтрации включает последовательное выполнение шагов: создание спецификации требований к фильтру, расчет количества стадий преобразования частоты дискретизации, выбор метода расчета коэффициентов, определение минимальных разрядностей для данных и коэффициентов, выбор оптимальной аппаратной архитектуры цифровых фильтров, разработка описания системы на уровне регистровых передач. Некоторые характеристики системы цифровой фильтрации, такие как занимаемый логический ресурс и энергопотребление могут быть оценены только на окончательных этапах маршрута проектирования. В слу9 чае неудовлетворительного результата возможен возврат на любой из указанных шагов. Поэтому процесс проектирования системы цифровой фильтрации носит итерационный характер.

Разработка современных цифровых устройств невозможна без применения систем автоматизированного проектирования (САПР). На различных стадиях проектирования цифровых фильтров используются различные САПР. Вначале проектировщик с помощью САПР системного уровня формирует алгоритмическую модель разрабатываемого устройства. На системном уровне решаются следующие задачи: выбор типов цифровых фильтров, создание структурной схемы системы, синтез цифровых фильтров (получение коэффициентов), преобразование коэффициентов в формат с фиксированной точкой, анализ полученных частотных, импульсных и переходных характеристик, моделирование отдельных субфильтров и всей системы.

После получения работоспособной алгоритмической модели, переходят к следующему этапу: получение описания системы цифровой фильтрации на уровне регистровых передач (RTL - register transfer level). Это преобразование может выполняться как вручную, так и с помощью специализированных подсистем генерации RTL описания (IP ядер). Следует отметить, что это наиболее трудоемкий этап, который требует от разработчика высокой квалификации и опыта. От качества выполнения данного этапа зависит требуемый логический ресурс, производительность и энергопотребление, что в конечном счете оказывает основное влияние на стоимость конечного изделия.

Далее с помощью подсистемы логического синтеза созданное RTL описание преобразуется в список цепей (netlist) для целевого аппаратного базиса. Логический синтез, как правило, выполняется в автоматизированном режиме с минимальным участием пользователя. Последующие этапы размещения pi трассировки, в случае ПЛИС, и проектирование топологии, в случае заказных микросхем, выполняются также в автоматизированном режиме с использованием средств САПР.

Таким образом, часто в проектировании систем цифровой фильтрации участвуют несколько человек. Разработка алгоритмической модели осуществляется специалистом по цифровой обработке сигналов, переход к RTL описанию - программистом, хорошо знакомым с принципами использования языков описания аппаратуры, а топологическое проектирование — группой инженеров по схемотехнике. Подготовка документации, согласование работы между различными специалистами приводит к дополнительным временным затратам. Поскольку, как было показано выше, процесс проектирования систем цифровой фильтрации носит многоитерационный характер, подобные временные затраты становятся неоправданными и снижают эффективность разработки.

На основании изложенного можно сделать вывод, что в настоящее время является актуальным решение задачи автоматизации проектирования систем цифровой фильтрации. При этом наиболее востребованным является создание сквозного маршрута проектирования, позволяющего на основе требований системного уровня получать эффективное синтезируемое описание системы на уровне регистровых передач.

Цель работы и задачи исследований.

Целью данной диссертационной работы является развитие методов автоматизированного проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем и разработка на их основе пакета прикладных программ.

Для этого необходимо решить следующие задачи:

1. Анализ типов систем цифровой фильтрации, аппаратных архитектур цифровых фильтров и входящих в их состав базовых блоков.

2. Исследование особенностей архитектур современных ПЛИС и стандартных ячеек заказных микросхем, используемых при проектировании цифровых фильтров.

3. Исследование возможностей современных САПР системного уровня и САПР цифровых устройств по проектированию систем цифровой фильтрации.

4. Разработка обобщенной модели системы цифровой фильтрации на нескольких уровнях проектирования.

5. Разработка методики автоматизированного проектирования систем цифровой фильтрации.

6. Разработка лингвистического, информационного и программного обеспечения подсистемы САПР систем цифровой фильтрации.

Научная новизна работы. Новые научные результаты, полученные в работе, состоят в следующем:

1. Разработана обобщенная модель системы цифровой фильтрации, состоящая из набора связанных между собой альтернативных И-ИЛИ графов. Предлолсен способ ее представления в разрабатываемой САПР.

2. Предложен метод оптимизации коэффициентов цифровых фильтров на основе алгоритмов глобального поиска, позволяющий значительно уменьшить требуемый логический ресурс.

3. Предложена методика автоматизированного проектирования систем цифровой фильтрации, направленная на формирование описания фильтра на уровне регистровых передач по требованиям на системном уровне.

Практическая ценность работы. На основе предложенных методов автоматизированного проектирования систем цифровой фильтрации была разработана специализированная подсистема САПР, позволяющая повысить качество проектируемых цифровых фильтров. В данной САПР в автоматизированном режиме решаются такие задачи, как расчет стадий преобразования частоты дискретизации в многоскоростных системах, синтез структурной схемы системы, параметрический синтез субфильтров, генерация описания системы на языке описания аппаратуры (HDL) и тестовой обвязки. Парамет

12 ры целевого аппаратного базиса задаются в виде XML файлов, что позволяет пользователю расширять возможности подсистемы САПР, добавляя поддержку появляющихся семейств микросхем. Библиотека базовых блоков выполнена в виде набора динамически подключаемых библиотек (DLL) с открытым интерфейсом, что упрощает добавление новых блоков и архитектур цифровых фильтров.

Реализация и внедрение результатов работы.

Работа по теме диссертации проводилась на кафедре ВТ ВлГУ в лаборатории цифровой обработки сигналов и потоков в рамках х/д НИОКР №3403/06 (шифр «Элерон-1»), №3359/06 (шифр «Эстамп-2»), ПП 47/07, ПП 28/07. Полученные результаты исследований в виде алгоритмов, методик и программного обеспечения внедрены в виде материалов отчетов и макетов по НИР и ОКР, выполненных в рамках государственного оборонного заказа, и в учебный процесс кафедры ВТ ВлГУ.

На защиту выносятся.

1. Обобщенная модель системы цифровой фильтрации в виде альтернативных И-ИЛИ графов, представленная на трех уровнях проектирования: системном, функциональном и компонентном.

2. Методика автоматизированного проектирования систем цифровой фильтрации, направленная на формирование описания системы на уровне регистровых передач по требованиям на системном уровне.

3. Математическое, информационное и программное обеспечение подсистемы САПР, позволяющей в автоматизированном и автоматическом режимах выполнять большинство этапов проектирования систем цифровой фильтрации.

4. Результаты применения подсистемы САПР для разработки системы цифровой фильтрации стандарта DECT в базисах ПЛИС и заказных микросхем.

Апробация работы.

Основные положения и результаты работы докладывались и обсуждались на следующих семинарах и конференциях:

• VII международная научно-техническая конференция «Перспективные технологии в средствах передачи информации» (Владимир, 2003 - 2007 г.);

• Международная научно-техническая конференция «Новые методологии проектирования изделий микроэлектроники» «New design methodologies» (Владимир, 2002 - 2003 г.);

• 4th Electronic Circuits and Systems Conference «Microelectronic and Microsystems Design» (Bratislava 2003);

• International Scientific Conference «Informatics, Mathematical Modelling and Design in the Technics, Controlling and Education» (Vladimir, 2004);

• Proceedings of IEEE East-West Design & Test Workshop (Sochi, 2006).

• Проблемы разработки перспективных микроэлектронных систем (Москва, 2006).

• Международная научно-техническая конференция «Физика и радиоэлектроника в медицине и экологии» (Владимир-Суздаль, 2006).

• 1-ая международная конференция «Глобальные информационные системы. Проблемы и тенденции развития.» (Харьков, 2006).

• НТК профессорско-преподавательского состава ВлГУ (2005 - 2008 г.).

Публикации по работе. По теме диссертации опубликовано 16 печатных работ, из них 1 статья в журнале, рекомендованном ВАК, 1 статья во Всероссийском научно-техническом журнале, 2 статьи в сборниках научных трудов и 11 тезисов докладов на Международных и Российских научно-технических конференциях.

Структура работы. Диссертационная работа состоит из введения, трех глав, заключения, списка литературы и приложений. Общий объем диссертации 170 страниц, в том числе 154 страницы основного текста, 10 страниц

Похожие диссертационные работы по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Заключение диссертации по теме «Системы автоматизации проектирования (по отраслям)», Плотников, Павел Владимирович

3.4. Выводы

1. Предложен маршрут проектирования систем цифровой фильтрации в базисах ПЛИС и заказных микросхем на основе предлагаемой методики. Маршрут проектирования основан на использовании разработанной подсистемы САПР и сторонних САПР цифровых устройств.

2. Разработана подсистема САПР, позволяющая в автоматизированном режиме формировать синтезируемое описание системы цифровой фильтрации на уровне регистровых передач. В состав предложенной подсистемы САПР входят: ядро на М-языке системы Matlab, библиотека параметров микросхем в виде набора XML файлов, библиотека базовых блоков в виде DLL с открытым интерфейсом.

3. Лингвистическое обеспечение подсистемы САПР состоит из XML-файлов определенной структуры, предназначенных для описания задания на проектирование и библиотеки параметров микросхем.

4. В состав информационного обеспечения подсистемы САПР входят структуры данных, предназначенные для использования в среде Matlab, и используемые для представления таких объектов как: альтернативные И-ИЛИ графы, направленные сигнальные графы, структурные схемы и диаграммы выбора архитектур фильтров.

5. Рассмотрена структура программного обеспечения подсистемы САПР и программного обеспечения для функциональной верификации систем цифровой фильтрации.

6. Рассматривается применение подсистемы САПР для проектирования системы цифровой фильтрации стандарта DECT в базисе ПЛИС фирмы Xilinx семейства Virtex-II Pro. Показано, что использование разработанного метода оптимизации коэффициентов фильтров, позволяет уменьшить требуемый для них логический ресурс на 30-40%.

7. Рассмотрено влияние настроек метода оптимизации на уменьшение логического ресурса и требуемые вычислительные затраты.

8. Приведены результаты функциональной верификации цифровых фильтров, входящих в состав системы цифровой фильтрации стандарта DECT. Показано, что полученные импульсные и частотные характеристики реальной системы соответствуют требованиям.

9. Выполнена разработка системы цифровой фильтрации стандарта DECT в базисе стандартных ячеек заказных микросхем по технологии 0,35 мкм. При этом удалось получить занимаемую площадь около 3,2 мм2 и максимальное значение тактовой частоты более 147 МГц.

10. Выполнено сравнение с коммерческой САПР на примере стандартного маршрута проектирования фирмы Xilinx. Показано, что при использовании стандартных средств, большая часть этапов проектирования выполняется в ручном режиме и плохо автоматизирована. Применение разработанной подсистемы САПР позволяет значительно сократить время проектирования и уменьшить логический ресурс на 36%.

Заключение

Анализ существующих алгоритмов цифровой фильтрации и подходов к построению их аппаратных реализаций позволил установить, что проектирование данных системы представляет собой сложный процесс. Маршрут проектирования включает следующие этапы: создание спецификации требований к фильтру, расчет количества стадий преобразования частоты дискретизации, выбор метода расчета коэффициентов, определение минимальных разрядностей для данных и коэффициентов, выбор оптимальной аппаратной архитектуры цифровых фильтров, разработка описания системы на уровне регистровых передач. Некоторые характеристики системы цифровой фильтрации, такие как занимаемый логический ресурс и энергопотребление могут быть оценены только на окончательных этапах маршрута проектирования. В случае неудовлетворительного результата возможен возврат на любой из указанных шагов. Поэтому процесс проектирования системы цифровой фильтрации носит итерационный характер.

Разработка современных устройств ЦОС невозможна без применения САПР. Исследование современных САПР системного уровня и САПР цифровых устройств показало, что возможности данных систем по проектированию систем цифровой фильтрации ограничены. При этом, многие трудоемкие этапы проектировщик вынужден выполнять в ручном режиме, что требует больших временных затрат и высокой квалификации. Кроме того, в данных САПР отсутствуют сквозные маршруты проектирования цифровых фильтров в базисах ПЛИС и заказных микросхем, позволяющие получать качественные решения.

В диссертационной работе поставлены и решены задачи, направленные на создание методов и средств автоматизированного проектирования систем цифровой фильтрации.

В работе были получены следующие основные результаты:

1. Исследованы способы реализации блоков памяти и арифметических блоков цифровых фильтров в современных ПЛИС фирм Xilinx и Altera, а также с помощью стандартных ячеек заказных микросхем.

2. Исследованы возможности современных САПР системного уровня и САПР ПЛИС и заказных микросхем. Показано, что данные САПР имеют ряд существенных недостатков, ухудшающих качество реализации цифровых фильтров и увеличивающих время проектирования.

3. Предложена обобщенная модель систем цифровой фильтрации в виде набора связанных И-ИЛИ графов на трех уровнях детализации: системном, функциональном и компонентном. Графы системного уровня используются для выбора типа системы цифровой фильтрации и формирования набора макроблоков. С помощью И-ИЛИ графов функционального уровня происходит выбор архитектур цифровых фильтров и декомпозиция их структуры на более мелкие компоненты, такие как умножители, сумматоры, аккумуляторы, буферы данных и коэффициентов и т.д. Графы компонентного уровня применяются для выбора аппаратных примитивов целевого аппаратного базиса.

4. Разработан математический аппарат для описания альтернативных И-ИЛИ графов, основанный на теории множеств и теории графов.

5. Для описания структуры системы цифровой фильтрации предложено использование направленных сигнальных графов системного и функционального уровней. Графы системного уровня описывают связи между макроблоками системы цифровой фильтрации, а графы функционального уровня -структуру макроблоков. Предложено математическое описание данных графов, основанное на теории множеств, позволяющее представить операции анализа структуры и декомпозиции макроблоков системы.

6. Разработана методика автоматизированного проектирования систем цифровой фильтрации, в которой в автоматизированном режиме выполняется структурный синтез системы цифровой фильтрации, параметрический синтез субфильтров и генерация кода на языках описания аппаратуры, таких как

153

VHDL и Verilog. Методика предполагает представление процесса проектирования на трех уровнях: системном, функциональном и логическом. Для выбора оптимального варианта реализации используются альтернативные И-ИЛИ графы, а для представления связей между блоками системы - направленные сигнальные графы.

7. Разработан метод оптимизации коэффициентов цифровых фильтров, позволяющий существенно уменьшить требуемый логический ресурс. Метод основан на применении алгоритмов глобального поиска.

8. Разработана подсистема САПР, позволяющая в автоматизированном режиме формировать описание системы цифровой фильтрации на уровне регистровых передач. В состав предложенной подсистемы САПР входят: ядро на М-языке системы Matlab, библиотека параметров микросхем в виде набора файлов в формате XML, библиотека базовых блоков в виде DLL с открытым интерфейсом.

9. Рассмотрено применение подсистемы САПР для проектирования системы цифровой фильтрации стандарта DECT в базисе ПЛИС фирмы Xilinx семейства Virtex-II Pro. Показано, что использование разработанного метода оптимизации коэффициентов фильтров, позволяет уменьшить требуемый для них логический ресурс на 30-40%.

10. Выполнена разработка системы цифровой фильтрации стандарта DECT в базисе стандартных ячеек заказных микросхем по технологии 0,35 мкм. При этом удалось получить общую площадь стандартных ячеек около 3,2 мм2 и максимальное значение тактовой частоты более 147 МГц.

11. Выполнено сравнение с коммерческой САПР на примере стандартного маршрута проектирования фирмы Xilinx. Показано, что при использовании стандартных средств, большая часть этапов проектирования выполняется в ручном режиме и плохо автоматизирована. Применение разработанной подсистемы САПР для системы цифровой фильтрации стандарта DECT позволяет значительно сократить время проектирования и уменьшить логический ресурс на 36%.

Список литературы диссертационного исследования кандидат технических наук Плотников, Павел Владимирович, 2008 год

1. Автоматизация схемотехнического проектирования: Учеб. пособие для вузов/В. Н. Ильин, В. Т. Фролкин, А.И. Бутко и др.,: Под ред. В. Н. Ильина. М.: Радио и связь, 1987. - 368с.: ил.

2. Айфичер, Эммануил С., Джервис, Барри У. Цифровая обработка сигналов: практический подход, 2-е издание.: Пер. с англ. М.: Издательский дом «Вильяме», 2004. — 992 е.: ил. - Парал. тит. англ.

3. Белодедов М.В. Методы проектирования цифровых фильтров: учебное пособие. Волгоград: Издательство Волгоградского Государственного Университета, 2004. - 64 с.

4. Бернюков А.К. Дискретная и цифровая обработка информации. Введение в теорию и некоторые приложения: Учеб. пособие. 2-е изд., стереотип. / Владим. гос. ун-т. Владимир, 2002. 160 с.

5. Бернюков А.К. Дискретная и цифровая обработка информации: Практикум. 2-е изд., доп. / Владим. гос. ун-т. Владимир, 2001. 84 с.

6. Бибило П.Н. Основы языка VHDL. М., «СОЛОН-Р», 2000. - 200 с.

7. Голд Б., Рэйдер Ч. Цифровая обработка сигналов. Пер. с англ., под ред. А. М. Трахтмана, М., Сов. радио, 1973, 968 с.

8. Гольденберг Л.М. и др. Цифровая обработка сигналов: Справочник / Л.М. Гольденберг, Б.Д. Матюшкин, М.Н. Поляк. М.: Радио и связь, 1985.-312 е., ил.

9. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах с программируемой структурой. — 2-е изд., пере-раб. и доп. СПб.: БХВ-Петербург, 2006. - 736 е., ил.

10. Ю.Давыдов A.B. Цифровая обработка сигналов: Тематические лекции. -Екатеринбург: УГГУ, ИГиГ, ГИН, Фонд электронных документов, 2005.

11. И.Дайанфен Зао, Цифровые приемники узкополосных сигналов на ПЛИС, http://www.chip-news.ru.

12. Дж. Ф. Уэйкерли Проектирование цифровых устройств. В 2 томах — М.: Постмаркет, 2002.

13. Дьяконов В.П. Matlab 6.5 SP1/7 + Simulink 5/6. Основы применения. Серия «Библиотека профессионала». М.: Солон-Пресс, 2005. - 800 е.: ил.

14. Зотов В.Ю. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK-ISE. М.: Горячая линия-Телеком, 2003.-624 е., ил.

15. Казеннов Г.Г. Основы проектирования интегральных схем и систем / Г. Г. Казеннов. М., БИНОМ. Лаборатория знаний, 2005. - 295 е., ил.

16. Каталог продукции, http://www.plis.ru.

17. Колос М.В., Колос И.В. Методы оптимальной линейной фильтрации / Под ред. В. А. Морозова, М.:, Изд-во МГУ, 2000. 102 с.

18. Корячко, В.М. Курейчик, И.П. Норенков. Теоретические основы САПР: Учебник для вузов. М.: Энергоатоимздат, 1987. - 400 с.

19. Круг П.Г. Процессоры цифровой обработки сигналов: Учебное пособие. М.: Издательство МЭИ. 2001 128 с.

20. Кузелин М. ПЛИС фирмы Xilinx: семейство Virtex-II, Chip News, 2002, № 2.

21. Ланцов В.Н. Проектирование ПЛИС на VHDL. Учеб. пособие / Вла-дим. гос. ун-т. Владимир, 2000. 121 с.

22. Лобачев Г.А., Плотников П.В. Архитектура подсистемы САПР устройств обработки сигналов, Международная научно-техническая Конференция «Новые методологии проектирования изделий микроэлектроники» «New design methodologies». Владимир, 2003.

23. Лобачев Г.А., Плотников П.В. Подсистема САПР устройств обработки сигналов, Обработка информации: методы и системы /Под ред. С.С. Садыкова, 2003 188-194с.

24. Мартынов H.H., Иванов А.П. MATLAB 5.x. Вычисления, визуализация, программирование М.: КУДИЦ-ОБРАЗ, 2000. - 336 с.

25. Мингазин А.Т. Синтез цифровых фильтров для высокоскоростных систем на кристалле. // Цифровая обработка сигналов №2, 2004. с.14-24

26. Меркутов A.C., Плотников П.В. Автоматизированное проектирование цифровых приемников стандарта DECT. Проектирование и технология электронных средств №2, Владимир 2007 - 56-60 с.

27. Меркутов A.C., Плотников П.В. Широкополосный цифровой приемник GFSK-сигналов // Материалы 2-й межд. НТК «Современные информационные системы. Проблемы и тенденции развития»: Сб. материалов конференции Харьков: ХНУРЭ, 2007. С. 390 - 391.

28. Методы и алгоритмы решения задач оптимизации. Бейко И.В., Бублик Б.Н., Зинько П.Н. К.: Вища школа. Головное изд-во, 1983. - 512 с.

29. Норенков И.П., Маничев В.Б. Системы автоматизированного проектирования электронной и вычислительной аппаратуры: Учеб. пособие для вузов. М.: Высш. школа, 1983. - 272 е., ил.

30. Норенков И.П. Разработка систем автоматизированного проектирования. Учебник для вузов. М.: Изд-во МГТУ им. Н.Э. Баумана. — 1994.-207 е., ил.

31. Основы цифровой обработки сигналов: Курс лекций / Авторы: А.И. Солонина, Д.А. Улахович, С.М. Арбузов, Е.Б. Соловьева / Изд. 2-е испр. и перераб. СПб.: БХВ-Петербург, 2005. - 768 е.: ил.

32. ПЛИС с архитектурой FPGA семейства Spartan-3, http://www.р 1 is.ru.

33. Плотников П.В. Повышение эффективности реализации цифровых фильтров в ПЛИС, Проблемы разработки перспективных микроэлектронных систем (МЭС-2006), Москва, 2006 - 333 - 338 с.

34. Плотников П.В., Кухарук B.C. Автоматизированная функциональная верификация систем цифровой фильтрации. Перспективные технологии в средствах передачи информации: Материалы 7-ой международной научно-технической конференции. Владимир, 2007. - 256 - 259 с.

35. Плотников П.В., Ланцов В.Н. Автоматизированное проектирование сложных систем цифровой фильтрации в ПЛИС. Вестник Тамбовского гос. технич. университета, 2008, том 14, Т70; 2, стр. 264-270.

36. Подбельский В.В. Язык С++: Учеб. пособие. 5-е изд. - М.: Финансы и статистика, 2005. - 560 е.: ил.

37. Поляков А.К. Языки VHDL и Verilog в проектировании цифровой аппаратуры. М.: СОЛОН-Пресс, 2003. - 320 е., ил.

38. Принцип построения сверхкомпактных быстродействующих КИХ-фильтров на основе распределенной арифметики, http://www.plis.ru

39. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. Пер. с англ., под ред. Ю. Н. Александрова, М., «Мир», 1978.

40. Разевиг В.Д. Златин И. JI. Новые возможности SystemView // EDA Express. 2003. № 7.

41. Разевиг В.Д., Лаврентьев Г.В., Златин И.Л. SystemView — средство системного проектирования радиоэлектронных устройств // Под ред. В. Д. Разевига. М.: Горячая линия — Телеком. 2002.

42. Рындин Е.А., Коноплев Б.Г. Субмикронные интегральные схемы: Элементная база и проектирование. Таганрог., Изд-во ТРТУ, 2001. - 147 с.

43. Сергиенко А.Б. Цифровая обработка сигналов: Учебник для вузов. 2-е изд.- СПб.: Питер, 2006. 75I.e.: ил.

44. Сергиенко A.M. VHDL для проектирования вычислительных устройств. К ЧП «Корнейчук», ООО «ТИД» «ДС», 2003. - 208 с.

45. Скляр Бернард. Цифровая связь. Теоретические основы и практическое применение. Изд. 2-е, испр. : Пер. с англ. М.: Издательский дом «Вильяме, 2004 - 1104 с. : ил. - Парал. тит. англ.

46. Сотник С.Л. Проектирование систем искусственного интеллекта. http://www.intuit.ru

47. Стешенко В.Б. ПЛИС фирмы «Altera»: элементная база, система проектирования и языки описания аппаратуры. М.: Издательский дом «Додэка-ХХ1», 2002. - 576 е., ил.

48. Угрюмов Е.П. Цифровая схемотехника. СПб.: БХВ - Санкт-Петербург, 2000. - 528 е., ил.

49. Уилкинсон, Барри. Основы проектирования цифровых схем.: Пер. с англ. М.: Издательский дом «Вильяме» - 2004. - 224 е.: ил. Парал. тит. англ.

50. Хемминг Р.В. Цифровые фильтры. Пер. с англ./ Под ред. А. М. Трахт-мана, М., Сов. радио, 1980. 224 е., ил.

51. Чен К., Джиблин П., Ирвинг А. MATLAB в математических исследованиях: Пер. с англ. М., Мир, 2001. - 346 е., ил.63 .Школа XML, http://xml.nsu.ru

52. ADS Digital Filter Designer, http://www.agilent.com

53. Advanced Design System, http://eesof.tm.agilent.com

54. Altera Corporation, Cyclone Device Handbook, http://wvvw.altera.com

55. Altera Corporation, Stratix Device Handbook, April 2004 -http://www.altera.com

56. Altera Corporation, Stratix GX Device Handbook, February 2004 -http://www.altera.com

57. Altera Corporation, Cyclone II Device Handbook, October 2003 -http://www.altera.com

58. Altera Corporation, Stratix II Device Handbook, February 2004 -http://www.altera.com

59. Antonia Azzinia, Matteo Bettonia, Valentino Liberalia, Roberto Rossib, and Andrea Tettamanzia. Evolutionary design and FPGA implementation of digital filters, http://citeseer.ist.psu.edu

60. Arun Mulpur. Faster and Better Embedded Signal Processing Systems: System-Level Design Begins to Pay-Off. International Signal Processing Conference '03, March 31 April 3, 2003, Dallas, TX.

61. Automated Fixed-point Data-type Optimization Tool for Signal Processing and Communication Systems, DAC'04, June 7-11, 2004, San Diego, California, USA. http://bwrc.eecs.berkeley.edu

62. Brian L. Berg, David C. Farden. Designing Power and Area Efficient Multistage FIR Decimators with Economical Low Order Filters, http:// ee-sof.tm.agilent.com.

63. Building custom FIR filters using System Generator, http://www.xilinx.com

64. Design of Microprocessors. EDA Roadmap Taskforce Report.// Electronic Design Automation Industry Council. — Si2, Inc. 1999. - C. 51.

65. Design Tips for HDL implementation of Arithmetic Functions, Application Note, http://www.xilinx.com

66. Digital Standard Cell Databook, http://asic.austriamicrosystems.com161

67. Document Object Model (DOM) Level 3 Core Specification, http://www.w3 .org/DOM/

68. Douglas J. Lockett, Christopher D. Roblee, Michael Rudko. Genetic Algorithm Based Design and Implementation of Multiplier-less Two-dimensional Image Filters, http://www.vu.union.edu

69. DSP Builder User Guide, http://www.altera.com

70. DSP Functions on FPGAs, http: //www, math works .com

71. Dusan M. Kodek. Design of Optimal Finite Wordlength FIR Digital Filters Using Integer Programming Techniques. IEEE TRANSACTIONS ON ACOUSTICS, SPEECH, AND SIGNAL PROCESSING, VOL. ASSP-28,NO. 3, JUNE 1980.

72. ETSI EN 300 175-2 "Digital Enhanced Cordless Telecommunications (DECT); Common Interface (CI); Part 2: Physical Layer".

73. FIR Compiler MegaCore function User Guide, http://www.altera.com

74. FIR Compiler Xilinx IP Core, http://www.xilinx.com

75. Fundamentals of sample data systems, Application Note, http://www.analog.com

76. G. Comoretto. Design of a FIR filter using a FPGA. http:// www. arcetri. astro. it.

77. Introduction to Quartus-II, http://www.altera.com

78. ISE Feature Guide, http://www.xilinx.com

79. John W. Adams and James L. Sullivan. Peak-Constrained Least-Squares Optimization. IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 46, NO. 2, FEBRUARY 1998.

80. Ki-Il Kum and Wonyong Sung. Combined Word-Length Optimization and High-Level Synthesis of Digital Signal Processing Systems. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 8, AUGUST 2001.

81. Kosuke Tarumi, Akihiko Hyodo, Masanori Muroyama and Hiroto Yasu-ura. Bitwidth Optimization for Low Power Digital FIR Filter Design. IEICE TRANS. FUNDAMENTALS, VOL. E88-A, NO.4 APRIL 2005.

82. Kosuke Tarumi, Taizo Tsujimoto, and Hiroto Yasuura. A Digital Filter Optimization Method for Low Power Digital Wireless Communication Systems, http://kasuga.csce.kyushu-u.ac.ip9 5. Leonardo Spectrum User's Manual, http://www.mentor.com

83. Lobachev G.A., Plotnikov P.V. Computer-Aided Design Subsystem of Multi-channel Quadrature Delimiters on XILINX FPGA, Microelectronic and Microsystems Design REASON Student Contest 4th Electronic Circuits and Systems Conference 2003.

84. M. S. Bright and T. Arslan. A Genetic Algorithm For The High-Level Synthesis Of DSP Systems For Low Power. IEE/IEEE Conf. Genetic Algorithms in Engineering Systems: Innovations and Applications, 2 4 September 1997, Glasgow, United Kingdom, pp. 174-179.

85. Mariusz Rawski, Pawe Tomaszewicz, Henry Selvaraj, TadeuszLuba. Efficient Implementation of Digital Filters with Use of Advanced Synthesis Methods Targeted FPGA Architectures. 8th Euromicro Conference on Digital System Design (DSD'05) pp. 460-466.

86. Massimiliano Erba, Roberto Rossi, Valentino Liberali and Andrea G. B. Tettamanzi. An Evolutionary Approach to Automatic Generation of VHDL Code for Low-power Digital Filters. EuroGP 2001, LNCS 2038, pp. 36-50, 2001.

87. Matlab & Toolboxes, http://www.matlab.exponenta.ru

88. Max Langer, Bjorn Svensson, Anders Brun, Mats Andersson and Hans Knutsson. Design of Fast Multidimensional Filters Using Genetic Algorithms. http:/Avww.imt.liu.se

89. Memory Compiler Systems, http://asic.austriamicrosystems.com

90. ModelSim. Foreign Language Interface, http://www.model.com

91. P. Plotnikov, G. Lobachev, Comparison XILINX and ALTERA FPGAs // in Proceedings of International Scientific Conference Informatics, Mathematical Modelling and Design in the Technics, Controlling and Education (IMMD'2004), Vladimir, 2004. p. 137 139.

92. P. Plotnikov, Design of Effective Digital Filters in FPGA, Proceedings of IEEE East-West Design & Test Workshop (EWDTW'06), Sochi, Russia, 2006. p. 332-334.

93. R. H. Turner and R. F.Woods. Highly Efficient, Limited Range Multipliers for LUT-Based FPGA Architectures. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 10, OCTOBER 2004

94. Ronald E. Crochiere, Lawrence R. Ubiner, Optimum FIR Digital Filter Implementations for Decimation, Interpolation, and Narrow-Band Filtering. IEEE TRANSACTIONS ON ACOUSTICS, SPEECH, AND SIGNAL PROCESSING, VOL. ASSP-23, NO. 5 , OCTOBER 1975.

95. System Generator for DSP, http://www.xilinx.com

96. SystemView by Elanix. Guide to the FPGA library, www.elanix.com

97. Spartan-3 Complete Data Sheet, http://www.xilinx.com.

98. Tapio Saramaki. Design and Implementation of Digital Filters and Filter Banks for Various Applications, http://www.dsp.tut.fi.

99. Transposed Form FIR Filters, Application Note, http://www.xilinx.com.

100. Virtex-4 User Guide, http://www.xilinx.com.

101. Virtex-5 XtremeDSP Design Considerations, http://www.xilinx.com.

102. Virtex-II Platform FPGA Complete Data Sheet, http://www.xilinx.com.

103. Virtex-II Pro Platform FPGA User Guide, http://www.xilinx.com. XtremeDSP Design Considerations User Guide, http://www.xilinx.com

104. Cmult18x18>0</mult 18x18> <bram>192</bram> <slice>23 616</slice> <lut>47232</lut> <ff>47232</ff> </constraints> </specification>

105. VHDL описание цифрового фильтра ФЗ, сгенерированное разработанной подсистемой САПР (для краткости из листинга убраны объявления компонентов).

106. THIS FILE WAS AUTOGENERATED BY VHDLGEN V.2.1

107. DATETIME: 2007 nov 12, 18:15

108. PARAMETERS: WI = 12, WO = 12, SIZE = 7, CHANNEL = 4, КО =1, K1 = -32,

109. K2 = -120, КЗ = -176, К4 = 16, К5 = 608, Кб = 1424, КС = 2047 library IEEE;use IEEE.stdlogic1164.all; use IEEE.stdlogicarith.all; use IEEE.stdlogicunsigned.all; entity f3 is port (

110. DIDATA: in stdlogicvector(11 downto 0); DIDV : in stdlogic;

111. DINUM : in stdlogicvector(1 downto 0); DODATA: out stdlogicvector(11 downto 0); DODV : out stdlogic;

112. Ctrl; fCtrl port map (DVI=>DIDV, NUMI=>DINUM, DVO=>DODV, NUMO=>DONUM,1. CLK=>CLK ); end f3 arch;

113. Результаты логического синтеза системы цифровой фильтрации стандарта DECT в базисе стандартных ячеек заказных микросхем из пакета ШТ

114. Kits v3.51 фирмы Austria Microsystems для технологии 0,35 мкм.

115. Площадь кри- Общая площадь

116. Итоговая площадь, мкм2 3242524г^^ УТВЕРЖДАЮпо,НР ВлГУ1. Ланцов *хГ 2008г.1. АКТ ВНЕДматериалов диссертационной работы Плотникова П.В.

117. Автоматизация проектирования систем цифровой фильтрации в базисах

118. ПЛИС и заказных микросхем"

119. И.о. зав. кафедрой ВТ, к.т.н., доцент1. В.Н.Клюев1. С.Г.МосинgMOHTOB008г.1. AKT ВНЕДРЕНИЯматериалов диссертационной работы Плотникова П.В.

120. Автоматизация проектирования систем цифровой фильтрации в базисах

121. ПЛИС и заказных микросхем" в учебный процесс кафедры ВТ

122. Зав. кафедрой ВТ, профессор, д.т.н.1. В.Н. Ланцов1. Доцент, к.т.н.1. Л.А.Калыгина1. Акт использования

123. Зам.командира в/ч 35533 по научной работе,11 > 1 } ЧП ' м Н и ч . ¿1^11;1. Максимов Е.М.**

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.