Экологически безопасное плазменное травление кремния и кремнийсодержащих материалов для формирования элементов БИС тема диссертации и автореферата по ВАК РФ 05.27.01, кандидат технических наук Рыбачек, Елена Николаевна

  • Рыбачек, Елена Николаевна
  • кандидат технических науккандидат технических наук
  • 1998, Москва
  • Специальность ВАК РФ05.27.01
  • Количество страниц 164
Рыбачек, Елена Николаевна. Экологически безопасное плазменное травление кремния и кремнийсодержащих материалов для формирования элементов БИС: дис. кандидат технических наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Москва. 1998. 164 с.

Оглавление диссертации кандидат технических наук Рыбачек, Елена Николаевна

В

Содержание: Введение------------------------------------

Глава 1. Анализ методов повышения экологической безопасности процессов плазменного травления материалов микроэлектроники.

1.1 Хлорсодержащие газовые смеси для плазмохимических процессов.----------------------------------------------------------------------16

1.2. Характеристика способов очистки выхлопных продуктов плазмохимического травления.-------------------------------24

1.3. Конструктивно- технологические методы повышения экологической безопасности процессов.----------------------------------36

1.4. Выводы.------------------------------------------------------------39

Глава 2. Анизотропное плазменное травление кремния.

2.1. Парогазовые смеси на основе хлора для травления кремнийсодержащих материалов.------------------------------------------41

2.2. Травление кремния на промышленном оборудовании.-45

2.3. Процессы травление на экспериментальном оборудовании.------------------------------------------------------------------49

2.4. Выводы.-----------------------------------------------------------57

Глава 3. Конструктивно-технологический комплекс для экологически безопасного травления кремния и

кремнийсодержащих материалов.

3.1. Устройства получения хлора для плазменного травления кремнийсодержащих материалов.-----------------------------------------59

3.2. Обезвреживание продуктов плазменного травления в газовых смесях на основе хлора.-------------------------------------------66

3.3. Диагностика и контроль состава плазменного разряда и выхлопных газов при плазменном травлении в хлорсодержащих смесях.----------------------------------------------------------------------------70

3.4. Выводы.-------------------------------------------------------------80

Глава 4. Исследование и разработка экологически безопасных процессов плазменного травления кремния и кремнийсодержащих материалов для создания БИС.

4.1. Оптимизация конструктивных параметров рабочего реактора установки «Плазма-ТЦ-001».------------------------------------82

4.2. Анизотропное травление глубоких канавок в монокристаллической подложке.-------------------------------------------95

4.3. Формирование поликремниевых затворов с субмикронными размерами.------------------------------------------------114

4.4. Травление слоев поликристаллического кремния, содержащих участки п- и р-типа проводимости, в одном вакуумном цикле.----------------------------------------------------------------------------117

4.5. Выводы.----------------------------------------------------------129

Глава 5. Исследование влияния процессов травления на электрофизические характеристики обрабатываемых структур.—130

5.1 Влияния процесса анизотропного травления канавок в кремниевой подложке на электрофизические свойства вертикального конденсатора.---------------------------------------------133

5.2. Свойства границы раздела кремний-окисел под поликремниевым затвором.------------------------------------------------139

5.3. Исследование влияния процесса сглаживания рельефа контактных окон в диэлектрике на электрофизические

характеристики МОП-транзистора.---------------------------------------

143

5.4. Выводы.----------------------------------------------------------148

Заключение.----------------------------------------------------------149

Литература.

152

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Экологически безопасное плазменное травление кремния и кремнийсодержащих материалов для формирования элементов БИС»

Введение.

Процессы плазменного травления (ПТ) различных материалов в настоящее время во многом определяют перспективы развития современных наукоемких технологий - создание изделий микроэлектроники и микромеханики. Решающим преимуществом плазменного травления перед традиционными жидкостными методами является возможность осуществления анизотропного травления. При ПТ высокая степень анизотропии обуславливается направленным воздействием потоков активных частиц, генерируемых в неравновесных электрических разрядах на поверхность материала вне зависимости от ее кристаллографического строения (монокристаллы различной ориентации, поликристаллические и даже аморфные материалы). Кроме того, другими преимуществами методов сухого травления, стимулирующими развитие этого направления в технологии производства ИС, являются широкие возможности управления ходом процесса (автоматизация процессов путем введения датчиков контроля различных параметров плазменного разряда, датчиков окончания процесса травления каждого функционального слоя).

Наиболее распространенными, эффективными и безопасными плазмообразующими реагентами до сих пор считались углеродсодержащие хладоны и их смеси с кислородом и инертными газами (1). Но последние исследования показали, что в выхлопе установок плазменного травления могут содержаться

вещества отрицательно воздействующие на человеческий организм и на окружающую среду (2). Указанные выше газы, проходя через насосы, растворяются частично в маслах, вызывая их деградацию. Смена масла, содержащего токсичные вещества (хлор, фосген и др.) требует выполнения особых правил безопасности персонала и специальных мер дальнейшей утилизации этих масел. В выхлопных газах насосов в зависимости от технологических режимов может содержаться до 10% СОС12, до 30% С12. К недостаткам галогенсодержащих хладонов можно отнести их активность по отношению к атмосферному озону, которая ведет к увеличению озоновой дыры в атмосфере планеты (3,4).

В настоящее время проводится поиск альтернативных экологически безопасных плазмообразующих реагентов, которые могли бы обеспечить результаты, по крайней мере, не хуже существующих.

В связи с этим целью диссертационной работы являлось исследование и разработка экологически безопасных процессов плазменного травления кремния и кремнийсодержащих материалов в газовых смесях на основе безуглеродных парогазовых реагентов для создания элементов БИС.

Научная новизна проведенной работы:

1. Проведен анализ и показана перспективность использования плазмообразующих газовых смесей на основе хлора, полученного при помощи промышленных устройств генерации СЬ

из твердых малотоксичных солей щелочных металлов, меди и свинца, для травления кремнийсодержащих материалов.

2. Изучен химический состав плазмы и особенности магнетронного реактивно ионного травления кремния в скрещенных электромагнитных полях в плазмообразующих реагентах на основе хлора, смеси хлора и элегаза, четыреххлористого углерода и смеси четыреххлористого углерода с элегазом. Показано, что продукты реакции смесей на основе газообразного хлора легче поддаются дезактивации и не вносят дополнительных дефектов в обрабатываемую поверхность по сравнению с широко используемыми парогазовыми смесями, содержащими четыреххлористый углерод.

3. Исследованы химические компоненты выхлопных газов в зависимости от состава хлорсодержащей смеси в плазме скрещенных электромагнитных полей и разработано устройство дезактивации вредных компонентов, основанное на улавливании хлора и его соединений нагретой до 300 °С медной поверхностью.

4. Изучены экспериментальные зависимости скорости, анизотропии, селективности к маске и к нижележащему слою, а также равномерности травления кремния и кремнийсодержащих материалов от магнитной индукции, мощности ВЧ-генератора, рабочего давления в реакторе и плазмообразующей смеси при обработке в плазменном разряде в скрещенных электромагнитных полях

5. Исследовано влияние магнетронного реактивно ионного травления в плазме хлора и его смесей с элегазом и аргоном на электрофизические параметры обрабатываемых структур. Установлено, что плотность поверхностных состояний на границе

раздела кремний-окисел после формирования вертикального конденсатора не превышает 1,1x1011 см"2 , а при травлении поликремниевых затворов плотность эффективного заряда составляет 1.3х10псм~2'

Показано, что этот вид плазменной обработки в некоторых случаях уменьшает плотность поверхностных состояний на границе раздела кремний-диэлектрик и частично компенсирует положительный заряд в диэлектрике.

Практическая значимость:

1. Разработан комплекс экспериментального оборудования и устройств для экологически безопасного плазменного травления кремния и кремнийсодержащих материалов в газовых смесях на основе хлора. Который состоит: из реактора высокоплотной плазмы, генератора газообразного хлора и устройства очистки выхлопных продуктов реакций.

2. Проведена оптимизация конструкции реактора для плазменного травления кремнийсодержащих материалов в скрещенных электромагнитных полях. На основе экспериментальных результатов выбрано оптимальное расстояние между электродами - 45 мм и расход охлаждающего газа гелия - 0,5 л\час, обеспечивающие хорошую производительность, воспроизводимость и равномерность процессов травления.

3. Разработаны экологически безопасные процессы анизотропного травления монокристаллического кремния для создания самосовмещенных, сверхбыстродействующих ИС с

субмикронными размерами элементов и вертикально-интегрированных элементов СБИС.

4. Разработаны экологически безопасные процессы прецизионного травления поликремниевых элементов при формировании МОП-структур.

5. Исследовано влияние геометрических параметров и материала маскирующих слоев на скорость травления и геометрическую форму углублений в монокристаллическом кремнии при травлении в хлорсодержащих смесях. Показано, что использование в качестве маски тонких 0,06-0,1мкм пленок хрома дает возможность получать вертикальные канавки в кремнии глубиной до 15мкм шириной 0,8-1,0мкм.

Реализация результатов работы:

Разработанные процессы травления кремния и кремнийсодержащих слоев были использованы для:

-создания СБИС с высокой степенью интеграции; -изготовления высокоомных поликремниевых резисторов (номинал от1кОм до 400к0м;

-МОП конденсаторов (удельная емкость 0,4фф\мкм ); -КМОП транзисторов с повышенным напряжением пробоя (более 15В). Результаты внедрены в ГНЦ «Технологический центр» и использованы в научно-исследова-тельских работах по программе ГНЦ Российской федерации НПК "Технологический центр" МИЭТ на 1991-1997 гг: «Разработка и исследование возможности создания технологии изготовления ячейки ДОЗУ на основе вертикальных конденсатора и МОП транзистора на базе серийного

технологического оборудования отечественного производства» (Г.Р.№"8Ф00432); и в межвузовской научно-технической программе "Конверсия и высокие технологии 1994-1996 гг" по теме «Исследование и разработка плазмохимических процессов формирования субмикронных элементов СБИС в скрещенных ВЧ-электрическом и магнитном полях» (Г.Р.№01950007528).

Акты внедрения прилагаются.

На защиту выносится:

1. Разработанный и изученный комплекс оборудования и устройств для экологически безопасного плазменного травления кремнийсодержащих материалов в хлорной плазме, состоящий из устройства генерации газообразного хлора, реактора магнетронного реактивно ионного травления в скрещенных ВЧ-электрическом и вращающемся магнитном полях и устройства дезактивации продуктов реакции.

2. Режимы травления и состав газовой смеси для экологически безопасного анизотропного травления монокристаллического кремния.

3. Режимы травления и состав плазмообразующей смеси для экологически безопасного прецизионного травления в едином вакуумном цикле поликристаллического кремния с областями различного типа проводимости.

4. Результаты экспериментальных исследований влияния обработки в хлорсодержащих смесях в плазме скрещенных электромагнитных полей на электрофизические характеристики

границы раздела кремний-диэлектрик при формировании МОП-структур.

5. Результаты исследования влияния материала маскирующих слоев на скорость анизотропного травления и геометрическую форму канавок в монокристаллическом кремнии при плазменной обработке в хлорсодержащих смесях.

Апробация работы: Основные результаты диссертации докладывались на Всероссийских научно-технических конференциях: «Экология микроэлектроники» Москва, 1990г., «Электроника и информатика» Москва, 1995г. и 1997г., на 2-м Международном симпозиуме «Теоретическая и прикладная плазмохимия» Иваново, 1995, Научно-технической конференции для студентов и аспирантов «Микроэлектроника и информатика» Москва, 1995г.

Публикации: основные материалы изложены в 3-х статьях и 7-ми докладах на научных конференциях, по результатам работы получено два авторских свидетельства.

Структура и объем работы: Диссертация состоит из введения, пяти глав, выводов и списка литературы. Общий объем работы: 161 страница, 13 таблиц, 48 рисунков. Библиография включает 101 наименование.

Содержание работы.

Во введении обосновывается актуальность работы, указаны цель и объект изучения, охарактеризованы научная новизна и практическая значимость, дана краткая аннотация работы по главам.

В первой главе работы проведен анализ современных направлений развития способов сухого травления кремний содержащих слоев в смесях хлора и безуглеродных газов. Показано, что на современном этапе все шире используются хлорсодержащие плазмообразующие смеси для сухого травления различных материалов применяемых в микроэлектронике. Проводится анализ отрицательного влияния применения различных реагентов на экологическую безопасность процессов травления. А также рассматриваются способы очистки выхлопных газов от вредных продуктов плазменных реакций и методы диагностики и контроля газовой среды в плазменном реакторе и на выходе вакуумной системы. Подчеркнуто, что переход от фреонов к безуглеродным смесям на основе хлора и использования этих смесей в реакторах плазменного травления нового поколения является одним из направлений позволяющем уменьшить экологический вред наносимый окружающей среде.

Во второй главе проведен сравнительный анализ разработанных процессов глубокого (5-10мкм) анизотропного травления кремня в плазмообразующей смеси элегаза с хладоном-22 на отечественном серийном оборудовании и на экспериментальной установке «Плазма-ТЦ001». Показано, что использование газовых смесей на основе хладона-22 и реактора магнетронного ионно-плазменного травления дает возможность повысить экологическую

безопасность процессов травления. Описывается конструкция экспериментальной установки «Плазма ТЦ001» и метод организации плазменного потока. Приводится обоснование выбора реактора магнетронного травления в скрещенных ВЧ-электрическом и вращающемся магнитном полях для дальнейшей разработки процессов экологически безопасного травления

кремнийсодержащих слоев. Показано, что использование данного типа оборудования дает возможность создать прецизионные, процессы травления кремния обеспечивающие современный уровень производства СБИС и не загрязняющие окружающую среду.

В третьей главе приводится описание комплекса оборудования и устройств для экологически безопасного плазменного травления кремнийсодержащих материалов в хлорной плазме.

Описываются конструкции и принцип работы устройств генерации газообразного хлора и устройств дезактивации продуктов реакций в хлорсодержащей плазме.

Рассмотрены особенности горения плазменного разряда в газовых смесях на основе хлора, гексафторида серы и инертных газов. Изучены масс-спектры состава выхлопных газов на входе и выходе откачной системы. Проведен анализ вредных составляющих, которые могут воздействовать на обслуживающий персонал и окружающую среду.

Доказана возможность создания процессов травления кремнийсодержащих материалов в плазменном разряде хлора и его смесей, не представляющих опасность для человека и окружающей среды.

В четвертой главе представлены результаты исследования и разработки экологически безопасных процессов плазменного травления кремния и кремний содержащих материалов.

Исследованы особенности травления монокремния и кремнийсодержащих слоев от конструктивных параметров установки «Плазма-ТЦ001»: межэлектродного расстояния и расхода охлаждающего газа Не. На основании проведенных экспериментов выбрана оптимальная конструкция плазменного реактора

Приведены результаты исследования и разработки процессов анизотропного травления глубоких канавок в кремнии с управляемым профилем стенок.. Исследованы зависимости влияния режимных параметров процесса (рабочего давления в реакторе, состава плазмообразующей смеси, мощности ВЧ-разряда) на основные характеристики травления (скорость, селективность, анизотропию, равномерность) монокристаллического кремния. Исследовано влияние на геометрические параметры канавок в формы и размеров исходной фотолитографической маски и материала маскирующего слоя (фоторезиста, окисла кремния, хрома или их различных комбинаций).

По результатам экспериментальных исследований разработаны процессы анизотропного травления кремния, обеспечивающие формирование канавок с управляемым профилем стенок и дна и позволяющие получать канавки шириной 0,5-0,8мкм и глубиной до 15мкм.

Представлены результаты исследования и разработки экологически безопасных процессов травления слоев поликристаллического кремния. Проведен сравнительный анализ

процессов формирования поликремниевых элементов СБИС на промышленном оборудовании: 08-ПХ0100Т-005, 08-ПХ0100Т-008 и на экспериментальной установке «Плазма-ТЦ001». Показано, что разработанные процессы травления поликремниевых элементов обеспечивают высокую точность переноса фотолитографического рисунка, хорошую селективность к подзатворному диэлектрику и не вносят дополнительных дефектов в обрабатываемую поверхность. Они дают возможность формировать элементы с размерами 0,8-1,2мкм, а также уменьшить толщину окисла под затвором до ЗОнм, что приводит к увеличению быстродействия и уменьшению размеров создаваемых приборов.

В пятой главе описывается исследование влияния процессов травления в скрещенных электромагнитных полях на электрофизические характеристики формируемых структур. Проведен сравнительный анализ воздействия плазменного травления на параметры МОП-структур при обработке на промышленном оборудовании и на установке «Плазма-ТЦ001». Показано, что плазменное травление в скрещенных полях не вносит значительных радиационных повреждений и мало влияет на электрофизические характеристики формируемых МОП-элементов, а это позволяет широко использовать данные процессы для создания ИС с повышенным быстродействием и хорошей надежностью.

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Заключение диссертации по теме «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», Рыбачек, Елена Николаевна

5.4. Выводы.

Применение методов плазменного травления в экологически безопасной системе обработки позволяет формировать структуры с меньшими размерами и более высокими качеством и надежностью, чем ИС изготовленные на традиционном оборудовании. Так, например, при создании МОП-транзисторов дает возможность реализовать шаг разводки 4-6 мкм и снизить толщину изолирующего диэлектрика под поликремниевым затвором до 0,02-0,03 мкм, что в свою очередь влечет за собой увеличение быстродействия микросхем. К тому же этот метод обработки позволяет формировать элементы ИС с субмикронными размерами, управляемым профилем травления и минимальными привносимыми дефектами.

Проведенные исследования показывают, что плазменные процессы в экологически безопасной системе обработки обеспечивают хорошую селективность к нижележащим слоям, высокую анизотропию и скорость травления. К тому же они незначительно воздействуют на электрофизические свойства обрабатываемых структур.

Заключение Основные результаты проделанной работы:

1. Разработан и испытан комплекс оборудования и устройств для экологичеки безопасного травления кремния и кремнийсодержащих материалов в хлорной плазме. Комплекс состоит из:

- реактора высокоплотной плазмы для магнетронного реактивно ионного травления в скрещенных электрическом и магнитным полях;

- устройства генерации хлора из не токсичных твердых продуктов (хлоридов меди, олова, цезия и щелочных металлов), вырабатывающие хлор непосредственно во время травления в количестве необходимом для проведения процесса (0,5-1,5 л\час).

- устройства очистки продуктов реакции травления в хлорной плазме от хлорсодержащих компонентов, позволяющий значительно снизить вероятность попадания вредных газообразных веществ в атмосферу.

- приборов диагностики и контроля состава газовой среды в реакторе и на выходе из откачной системы установки.

2. Исследован химический состав газообразных продуктов реакций травления кремния в хлорной плазме и разработано устройство очистки выхлопа от хлорсодержащих копонентов.

3. Разработаны процессы анизотропного травления монокремниевой подложки, позволяющие формировать канавки в кремнии шириной 0,5-1,5мкм глубиной 10-15мкм.

4. Разработаны процессы травления поликристаллического кремния с областями различного типа проводимости в едином вакуумном цикле, дающий возможность одновременно формировать поликремниевые резисторы и затворы МОП-приборов с субмикронными размерами.

5. Исследовано влияние плазменного травления в скрещенных электромагнитных полях на электрофизические характеристики формируемых МОП-структур. Показано, что разработанные процессы травления уменьшают плотность эффективного зяряда, напряжение плоских зон и плотность поверхностных состояний, что дает возможность создавать приборы с большим быстродействием.

По результатам работы можно сделать следующие выводы:

Разработанные процессы плазменного травления кремния и кремнийсодержащих материалов позволяют формировать структуры с меньшими размерами и более высокими быстродействием и надежностью, чем ИС изготовленные традиционными способами. Так, например, при создании МОП-транзисторов возможно реализовать шаг разводки 4-6 мкм и снизить толщину изолирующего диэлектрика под поликремниевым затвором до 0,02-0,03 мкм, что влечет за собой увеличение быстродействия микросхем. К тому же эти процессы могут быть использованы для создания самосовмещенных СБИС с высокой степенью интеграции, а также для изготовления: высокоомных резисторов (номинал от 1 до 400к0м); МОП конденсаторов (удельная емкость 0,4 фф\мкм2); КМОП транзисторов с повышенным напряжением пробоя (более 15В).

Таким образом, в результате проделанной работы разработаны технологические процессы экологически безопасного плазменного травления кремния и кремнийсодержащих материалов, обеспечивающие высокую скорость обработки, анизотропию и селективность к нижележащим слоям, которые используются для создания СБИС.

Список литературы диссертационного исследования кандидат технических наук Рыбачек, Елена Николаевна, 1998 год

Литература:

1. Врублевский Э.М., Жильцов В.В., Калабин С.М. Состав выхлопных газов установок сухого травления материалов. Электронная техника, серия 3, Вып. 2(136), 1990, с. 36-39.

2. Путря М.Г., Новожилов А.Ф., Рыбачек Е.Н., Чаплыгин Ю.А. Экологически безопасное плазменное травление кремнийсодержащих материалов. Электронная промышленность. 1991г., № 8, с.42-44.

3.Пат. 5275692 США, МКИ5 Н OIL 21\00, 92г.

4.Пат. 5266157 США, МКИ5 Н 01 L 21\00, 91г.

5. Гулевич И.В., Маринов О.И., Путря М.Г., Рыбачек Е.Н. Повышение экологической безопасности процессов сухого травления материалов микроэлектроники. Тезисы докладов н.-т. конференции «Экология микроэлектроники -90», М., 1990г., с,25-26.

6. Данилин B.C. Вакуумно-технологические проблемы изготовления сверхбольших интегральных микросхем. Итоги науки и техники. ВИНИТИ, Электроника, 1986, т.18, с.133-183.

6. Заявка 63-27026 Япония. Кл. Н01 L 21/314. 88 г.

8. Пат. 4690728 США, H01L 21/306, В 44 С 1/22, НКИ 156/643,

87г.

9. Заявка 57-9878, Япония, С 23 F 1/08, Н 01 L 21/302, 82 г.

10.Пат. 5328558 США, МКИ5 Н 01 L 21X306, 93г.

11. Заявка 57-9878, Япония, С 23 F 1/08, Н 01 L 21/302, 82 г.

12. Пат. 4789426, США, Н 01 L 21/302, НКИ 156/643, 88 г.

13. Idnn J.H., Sweuts W.E. XPS stady of the plasma etching of aluminium with CC14. Spectros. Letters. 1985, Vol.18, No.5, p.335-352.

14. Unger P., Beneding H. Anisotropic dry etching of Gais and silicon using CC14. Microelectronic Eng.l, 1985, Vol. 8, No.l, p.435-443.

15. Hess D.W. Plasma etch chemistry of aluminium and aluminium alloy Films. Plasma Chem. and Plasma Proc. 1982, Vol.2, p.141-155.

Bachmann P. Aluminium plasma etching process using vacuum systems without cjld traps. J. Vac. Sci. Technol. 1985, Vol. A3, No.3, Pt.l, p.509-511.

17. Данилин B.C. Вакуумно-технологические проблемы изготовления сверхбольших интегральных микросхем. Итоги науки и техники. ВИНИТИ Электроника, 1986, т.18, с.133-183.

18. Справочник химика т. 1 ,Л. Химия, 1971, с. 1071.

19. Duval P. Pumping chlorinated gases in plasma etching. J. Vac. Sci. Technol. 1983, Vol. Al, No2, p.233-236.

20. Беспамятнов Г.П., Кротов Ю.А. Предельно-допустимые концентрации химических веществ в окружающей среде. Л., Химия, 1985, с.528.

21. Вредные вещества в помышленности. Справочник под ред. Лазарева И.В. Москва, Химия, 1976, т.1, с.592.

22. Вредные вещества в промышленности. Справочник под ред. Лазарева И.В., М., Химия, 1977, т.З, с.608.

23. Врублевский Э.М., Данилин Б.С. Проблемы безопасности и экологии в производственных процессах сухого размерного травления материалов. ЭТ, сер. 3, Микроэлектроника, 1990, N2(136), с.36-39.

24. Вредные химические вещества. Неорганические соединения элементов I-IV групп. Справочник под ред. Филова В.А. Л., Химия, 1988, с.512.

25. Светцов В.И., Зимина И.Д. Химические процессы в системе хлор металл в газоразрядной плазме пониженного давления. Деп. в НИИТЭХИМ г. Черкассы Ш64ХП-Д81, с.20.

26. Тимофеев А.Ф., Мазанко А.Ф., Ягуд В.Ю. Техника безопасности при хранении, транспортировке и применении хлора. М. Химия, 1990, с.335.

27. Рыбачек E.H., Гулевич И.В., Маринов О.И., Путря М.Г. Применение управляемых устройств генерации чистых галогенов, используемых при сухом травлении. Тезисы н.-т. конференции «Экология микроэлектроники - 90», М., 1990, с. 27-28.

28. Пат. ГДР № 202628. H01L 21/68, 83г.

29. Пат. Швейцария № 665828 H01L 21/68, 86г.

30. Пат. Япония № 57-43293 H01L 21/68, 82г.

31. Пат. ГДР № 267875 НО 1L 21/68, 89г.

32. Пат. ФРГ №об 3629765 Н01Ь 21/68 ,89г.

33. Ведь В.Е., Дробышева О.М., Подорван К.Т. Интенсификация процесса поглощения газообразного хлора из отходящих промышленных газов. Повыш. эффект, соверш. процессов и аппар. хим. пр-в. 7 Респ. Конф., 20-22 сент., 1988. Тез. Докл. 4.2. Львов, 1988. с. 16-17.

34. АС СССР № 1151275 А. Способы очистки газов от хлора. Н01Ь 21/68 /Н01Ь 21/02/

35. Маринова Н.В., Андреев В.И., Деркач О. Утилизация хлорных выбросов на участках хлорирования тканей. Промышленная и санит. очистка газов. Москва, 1984. № 1 с. 18-19.

36.Пат. ПНР № 255270.

37. Пат. Япония № 55-155733.

38. Щербаков В.Н., Кульнева С.С.. Очистка технологических вентиляционных выбросов от примесей гидридных газов. Обзоры по ЭТ. Сер.7, 1988. вып.6(1351), ЦНИИ, Электроника, с. 48.

39.Пат. Франция № 2302129 Н01Ь 21/68, 80г.

40. Фадеев А.И. Очистка воздуха загрязненного ртутью и хлором. Промышленная и санитарная очистка газов. Москва. 1985. № 1, с.18-19.

41. АС СССР № 4058504. Способ очистки газовых выбросов от хлорорганических соединений.

42.Плахотник В.Н., Жуков Ю.А., Зайчиков С.Г. и др. Улавливание фтористого водорода из газовоздушного потока гранулированной окисью алюминия.//Вопросы химии и хим. техн. (Харьков), 1988.- № 86 с.48-51.

43.Пат. США № 4743435, H01L 21/02.

44. АС СССР № 1088786, H01L 21/68.

45.Tsujmura Manobu, Saito Harumitsu. Обработка газообразных продуктов, использованных в процессах полупроводникового производства, Эбара дзо, Ebora Eng. Rev. 1987, №138, р-25-28.

46.Рыбкина Л.В., Аловяйников И.В. Сорбция хлорида водорода ионообменными волокнистыми материалами. Промышленная и санитарная очистка газов. 1984, №6, с. 12.

47.Duval P. Pumping chlorinated gases in plasma etching, J. Vac. Sci. Technol. 1983, vol.Al, №2, p.233-236.

48.Пат. Франция № 3223432.

49. Пат. Франция № 2229455.

50. Пат. США № 3972949.

51.Пат. Великобритания № 1430568.

52.Власенко В.Н., Черницкий O.P., Чернобривец В.Л. Очистка отходящих газов от хлористого этила методом каталитического окисления. Хим. промышленность. 1989, № 10, с.739-741.

53. Пат. ФРГ №3440563.

54. АС СССР № 198630 ЧССР.

55. Пат. ФРГ №3721317.

56. Demmich J., Schoner Р. Термохимическое разложение высокогалогенированных углеводородов. Chem. Ing. Techn., 1988, vol.60, №9, p.720-721.

57. Пат. Великобритания № 2200621, H01L 21/68, 88г.

58. Пат. ЕПВ № 0303368, H01L 21/68, 89г.

59. Tiller Н. J., Berg D., Meyer. Analysis and scruffmg of CI- and F-containing waste gases from plasma process. 9 th Int.Symp. Plasma Chem., Pugnochiuso, Sept. 4-8, 1988 ISPC-9, Symp. Proc., Vol.3-Bari, 1988, p.124.

60. Пат. ГДР № 216637, H01L 21/68, 88г.

61. Пат. ГДР № 215706 А1, НО 1L 21/02, 89г.

62. Пат. США № 4373942, H01L 21/265, 88г.

63. Пат. Япония № 69-30042, H01L 21/203, 88а.

64. АС СССР № 1346215, H01L 21/68, 89г.

65. Пат. Япония № 63-48567, H01L 21/68, 89г.

66. Пат. Япония № 62-191025, H01L 21/68, 88г.

67. Bachmann P. Aluminum plasma etching process using vacuum systems without cold-traps. J. Vac. Sci. Technol., 1985, vol. A3, №3, Pt 1, p.509-511.

68. Hess Dennis W. Plasma Etch Chemistry of Aluminum and Aluminum Alloy Films// Plasma Chem. and Plasma Process. 1982, vol. 2, №2, p.141-155.

69. Walton John. Developments in gas detection, Safety Proc.,

1986, vol. 4, №3, p.28-30.

70. Дуров Ю.С. Методы анализа загрязнения воздуха. М., Химия, 1984, с. 256.

71. Муравьёва С.И., Казнина Н.И. Справочник по контролю вредных веществ в воздухе. М. Химия, 1988, с.320.

72. Ангелов И.П., Казанцев Д.В., Михеев F.M. Измерение малых концентраций водорода. 3 Нац. Конф. ОПТИКА 87. София,

1987, т.2, с.523-526.

73 .Зимина И.Д., Максимов А.И., Светцов В.И.. Разложение молекул четыреххлористого углерода в высокочастотном разряде. Рукопись депонирована в ВИНИТИ за N1796-76 от 24.5.76.

74. H.J. Tiller, R. Jobel, U. Fuhr. Ion extraction of Containing Plasmas - Problems and Possisilities of the Investigation of Plasma Processes. Beitr. Plasmaphis., 1984, vol. 24? N5, p. 487-497.

75. Куприяновская А.П., Светцов В.И. Диссоциация молекул иконцентрация заряженных частиц при разряде в хлоре. Химия и химическая технология, том 30, вып. 9, 1987, стр. 71-75.

76. Справочник химика. JL, «Химия», 1971, т. 1,2.

77. Мак-Таггарт Ф. Плазмохимические реакции в электрических разрядах. М.: Атомиздат, 1972г.

78.W.J legro, M. Venugopala, J.Muller, Mass. Spectrometer - Wall Probe Diagnostic of Ar Discharges Containing SF6 and/or 02: React. Etching Plasmas. Plasma Chem. And Plasma Processing, 1984, vol. 4, №2, p. 107-118.

79. R.J. Van Brunt, T.C. Lazo, W.E. Anderson. Production rates for discharge generates SOF2, S02 in SF6 and SF6 /H20 mixtures. Yaseos Dielec., Vol.4, Proc. 4 and Symp. Khoxhille , Tenn Apr. 29. Maep., 1984, New York, 1984, v. 4, p. 276-285.

80. M.A. Lieberman, G.S. Selwyn and M. Tuszewski. Plasma Generation for Materials Processing. MRS Bulletin/August 1996).

81. Заявка Яп. 5-251390 H01L21/302,21/205, 86г.

82. Пат. 2029411 Россия, МКИ Н 01 L 21/ 302, 88г.

83. Заяв. Яп. 2-312231 МКИ Н 01 L 21/302, 89г.

84. Jun Li, Qing-Ming Chen and Zai-Guang Li Simulation of ionization in a magnetron-like glow discharge. J. Phys. D: Appl. Phis. 28 (1995) p. 1121-1125.

85. Вернер В.Д., Зарянкин H.M., Путря М.Г., Сауров А.Н.,

Шелепин Н.А. Исследование и разработка перспективных технологических процессов в НПК ТЦ МИЭТ для разработки вертикально интегрированных элементов СБИС ЗУ емкостью 16-64 М. Электронная промышленность, 1995, N4-5, с.73-76.

86. А.С. СССР № 1597033 Рыбачек Е.Н. и др. Способ

анизотропного РИПТ кремния и кремнийсодержащих слоев. 1990г. МИЭТ. МКИ НО 1L21/302.

87. Кацевич Л.С. Расчет и конструирование электрических печей, Атомиздат, М., 1974, с. 128.

88. Стриганов А.П., Светнитский Н.С. Таблицы спектральных линий и ионизированных атомов. Атомиздат, 1966,с. 899.

89. Зайдель А.Н., Прокофьев В.К. и др. Таблицы спектральных линий М.,Наука, 1977, с 800 .

90. Пирс Р. , Гейдон А., Отождевление молекулярных спектров. М., Изд.Инст.Лит., 1949, с 240.

91. Гусев В.В., Долгопол ов В.M., Словеницкий Д.И., Шелыхманов Е.Ф. Особенности спектра плазмы ВЧ-разряда при травлении алюминия. ТВТ, 1983, том 21, №1, с. 22-29.

92. Lee Y.H., Chen М-М. Silicon doping effects in reactive plasma etching. American Vacuum Society, 1986, P.468-475.

93. Назаров H.H., Лысенко B.C., Михайлов C.H. и др. Влияние плазменной обработки на перенос и накопление заряда в слоях двуокиси кремния. Микроэлектроника, том 23, вып. 3, 1994 г, с.

94. Балыченко A.A., Вениаминов В.В., Залялютдинов М.К. и др. Дефекты в приповерхностных слоях кремния после ЭРЦ-травления в плазме SF6/CC14/02. Микроэлектроника, т. 23, вып. 3, 1994, стр. 28-37.

95. Fonash S.J. An overview of dry etching damage and contamination effects. J. Electrochem. Soc. 1990, V.B 4, p. 3885.

96. Балыченко А.А., Гонтарь В.М., Зимогляд В.А. и др. «Дефекты в приповерхностных слоях кремния после ЭЦР -травления в плазме С12/02», «Микроэлектроника», т. 23, вып.2, 1994 г., стр. 57-65.

97. Nakagawa S., Sasaki Т., Mori Н., Namura Т., « Charge BuildUp and Uniformity Control in Magnetically Enhanced Reactive Ion Etching Using a Curved Lateral Magnetic Field», Jpn. J. Appl. Phys. Vol. 33 (1994) pp. 2194-2199.

98.Richardson W.F., Bordelon D.M., Pollak G.P., Shan A.H. et al «А Trench Transistor Cross-Point DRAM Cell», IEEE IEDM Tech. Digest., Dec.1985, pp. 714-717.

99. Новожилов А.Ф., Путря М.Г., Рыбачек E.H., Чаплыгин Ю.А. «Экологически безопасное плазменное травление кремний содержащих материалов», Электронная промышленность, №8, 1991г., стр. 42-44.

100. Вернер В.Д., Зарянкин Н.М., Путря М.Г., Сауров А.Н., Шелепин Н.А. «Исследование и разработка перспективных технологических процессов в НПК ТЦ МИЭТ для разработки вертикально интегрированных элементов СБИС ЗУ емкостью 16-64 Мбитт». Электронная промышленность, 1995 г., N 4-5, с.73-76.

101. Nguyen S.V., Chrisman G., Dobuzinsky D., Harmon D. «Magnetically Enhanced Reactive Ion Etching of Poly Gate Electrodes Smaller Than 0,5 micron», Solid State Technology, October 1990, pp 7377.

4 Утверждаю Директор Государственного Научного центра НДК""

" 1998 г.

АКТ

о внедрении результатов диссертационной работы Рыбачек Елены Николаевны "Экологически безопасное плазменное травление кремния и кремнийсодержащих материалов для формирования

элементов БИС."

Разработанные в диссертационной работе Рыбачек E.H. экологически безопасные плазменные процессы травления элементов БИС:

- анизотропное травление канавок в монокристаллической подложке;

- формирование поликремниевых затворов с субмикронными размерами;

- травление слоев поликристаллического кремния, содержащих участки с различным типом проводимости, в одном вакуумном цикле;

внедрены в НПК "Технологический центр" и использованы в научно-исследовательских работах по программе ГНЦ Российской федерации НПК "Технологический центр" МИЭТ на 1991-1997 гг: «Разработка и исследование возможности создания технологии изготовления ячейки ДОЗУ на основе вертикальных конденсатора и МОП транзистора на базе серийного технологического оборудования отечественного производства» (Г.Р.№"8Ф00432); и в межвузовской научно-технической программе "Конверсия и высокие технологии 1994-1996 гг" по теме «Исследование и разработка плазмохимических процессов формирования субмикронных элементов СБИС в скрещенных ВЧ-электрическом и магнитном полях» (Г.Р.№01950007528).

Начальник ОНТ к.т.н.

— А.И. Галушков

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.