Физико-химические процессы в неравновесной низкотемпературной плазме HBr и его смесей с аргоном, гелием и водородом тема диссертации и автореферата по ВАК РФ 02.00.04, кандидат физико-математических наук Смирнов, Алексей Александрович

  • Смирнов, Алексей Александрович
  • кандидат физико-математических науккандидат физико-математических наук
  • 2010, Иваново
  • Специальность ВАК РФ02.00.04
  • Количество страниц 134
Смирнов, Алексей Александрович. Физико-химические процессы в неравновесной низкотемпературной плазме HBr и его смесей с аргоном, гелием и водородом: дис. кандидат физико-математических наук: 02.00.04 - Физическая химия. Иваново. 2010. 134 с.

Оглавление диссертации кандидат физико-математических наук Смирнов, Алексей Александрович

ВВЕДЕНИЕ

ГЛАВА 1. ЛИТЕРАТУРНЫЙ ОБЗОР

1.1. Неравновесная низкотемпературная газоразрядная плазма: основные 9 свойства и определения

1.2. Место и роль плазменных процессов в современных технологиях 11 микро- и наноэлектроники

1.3. Закономерности и особенности взаимодействия плазмы 20 галогенводородов с металлами и полупроводниками

1.4. Закономерности образования и гибели активных частиц в плазме 32 галогенводородов. Кинетические и транспортные коэффициенты

Рекомендованный список диссертаций по специальности «Физическая химия», 02.00.04 шифр ВАК

Введение диссертации (часть автореферата) на тему «Физико-химические процессы в неравновесной низкотемпературной плазме HBr и его смесей с аргоном, гелием и водородом»

Актуальность темы. Технологические процессы с использованием неравновесной низкотемпературной газоразрядной плазмы широко используются в производстве изделий микро- и наноэлектроники при очистке поверхности полупроводниковых пластин, нанесении функциональных слоев и их размерном травлении. Постоянно возрастающие требования по выходным характеристикам целевых процессов (скорость, селективность, анизотропия и др.) обуславливают необходимость оптимизации существующих и разработку новых плазменных технологий. Решение таких задач невозможно без глубокого научного исследования механизмов физико-химических процессов, определяющих стационарные параметры и состав плазмы.

Одним из ключевых процессов в технологии современных интегральных микросхем (ИМС) является плазменное травление (ПТ). В технологии ПТ классической надмикронной кремниевой электроники доминировала плазма фторсодержащих газов, которая обеспечивала приемлемые на тот момент времени характеристики ПТ кремния, 8Ю2, 813М4, а также ряда металлов (Тл, и др.). Применение фторсодержащей плазмы при производстве ИМС с субмикронными размерами и высокими степенями интеграции элементов ограничено рядом существенных проблем, таких как: 1) низкая анизотропия травления кремнийсодержащих соединений; 2) высаживание углеродсодержащих полимерных пленок на поверхностях, контактирующих с плазмой; 3) невозможность травления широкого круга металлов (Си, А1, Аи, Р^ и полупроводников (ваАз, АЮаАэ 1пР, кЮаР), образующих нелетучие соединения с фтором. Последний недостаток делает невозможным применение фторсодержащей плазмы не только в субмикронной кремниевой электронике, но и при производстве приборов на основе полупроводников группы АШВУ.

В настоящее время большое внимание специалистов в области ПТ уделяется галогенводородам НХ (Х=С1,ВгД). Достоинствами галогенводородов являются отсутствие полимеризационных явлений, низкие (по сравнению с плазмой молекулярных галогенов) степени диссоциации, обеспечивающие преимущество в анизотропии и селективности процесса, а также лучшие равномерность и чистота обработки поверхности. В частности, плазма чистого НВг и смесей НВг-Аг, Не демонстрирует высокую анизотропию ПТ моно- и поликристаллического Si, а также высокую селективность процесса по отношению к SÍO2, Si3N4 и органическим фоторезистам. Смеси НВг-Н2 используются для анизотропного ПТ GaAs, AlGaAs и InP, где- добавка Н2 снижает шероховатость и способствует сохранению стехиометрического состава обрабатываемой поверхности. Кроме этого, плазма НВг имеет преимущество при ПТ меди, позволяя проводить процесс при менее высоких температурах и обеспечивая тем самым существенное увеличение стойкости фоторезистивных масок. Все это делает НВг весьма перспективным газом для современной технологии ПТ.

Практически все технологические реализации ПТ с участием НВг-содержащей плазмы основываются только на эмпирическом материале. Это связано со слабой изученностью механизмов физико-химических процессов, формирующих стационарные параметры и состав плазмы НВг и, как следствие, с невозможностью установления однозначных взаимосвязей между внешними (задаваемыми) параметрами плазмы, ее внутренними характеристиками и параметрами целевого процесса. Очевидно, что такая ситуация не обеспечивает оптимальных режимов проведения ПТ и не определяет путей и критериев поиска оптимальности. i

Цель работы. Анализ закономерностей и механизмов физико-химических процессов, формирующих стационарные параметры и состав неравновесной низкотемпературной плазмы НВг и его смесей с инертными (Аг, Не) и молекулярными (Н2) газами.

Исследования проводились по следующим основным направлениям: 1) Формирование кинетических схем (наборов реакций, соответствующих сечений и кинетических коэффициентов), обеспечивающих адекватное 5 описание закономерностей физико-химических процессов образования и гибели нейтральных и заряженных частиц в плазме НВг и бинарных смесей НВг-Аг, Не, Н2.

2) Расчеты функции распределения электронов по энергиям (ФРЭЭ), интегральных характеристик электронного газа и коэффициентов скоростей процессов при электронном ударе. Анализ механизмов образования и гибели активных частиц, расчеты стационарного состава плазмы и плотностей потоков активных частиц на поверхность, ограничивающую зону плазмы.

Научная новизна работы. При выполнении работы были получены следующие новые данные и результаты:

1) Сформирован набор сечений процессов под действием электронного удара для молекулы НВг и проведена его оптимизация на основе сравнения расчетных значений скорости дрейфа и характеристической энергии электронов с данными независимых литературных источников.

2) Впервые предложена полная кинетическая схема (набор реакций, сечений и кинетических коэффициентов) для описания закономерностей физико-химических процессов образования и гибели заряженных частиц и стационарного состава плазмы НВг. С целью оценки адекватности кинетической схемы проведен сравнительный анализ электрофизических параметров плазмы НВг и НС1 с привлечением данных диагностики (приведенная напряженность электрического поля Е/Ы, плотность потока ионов Г+) последней.

3) Впервые проведено детальное исследование электрофизических параметров, стационарного1 состава и кинетики процессов образования-гибели нейтральных и заряженных частиц в плазме НВг в условиях тлеющего разряда постоянного тока. Найдено, что баланс нейтральных частиц в значительной степени формируется атомно-молекулярными процессами. Показано, что прилипание электронов к НВгу>о не оказывает определяющего влияния на кинетику процессов' образования-гибели заряженных частиц.

4) Впервые проведено детальное исследование электрофизических параметров, стационарного состава и кинетики процессов образованиягибели нейтральных и заряженных частиц в, плазме бинарных смесей

НВг-Аг, Не, Н2 переменного состава. Установлено, что отсутствие корреляции между изменением Е/Ы и средней энергией электронов при варьировании начального состава смесей связано с неаддитивным перераспределением каналов потери энергии электронов в неупругих соударениях. Найдено, что разбавление НВг водородом не сопровождается принципиальными изменениями кинетики атомно-молекулярных процессов. Показано, что рост степеней диссоциации молекул в смесях

НВг-Аг, Не обусловлен ростом эффективности диссоциации электронным ударом из-за изменения электрофизических параметров плазмы.

Практическая значимость работы. Полученные результаты могут использоваться при разработке, автоматизации, оптимизации процессов плазмохимического травления, а также при анализе механизмов и построении моделей физико-химических процессов в неравновесной низкотемпературной плазме НВг и смесей на его основе.

Личныйь вклад автора. Работа выполнена на кафедре «Технология приборов и материалов электронной техники» (ТПиМЭТ) ГОУ ВПО

Ивановский государственный химико-технологический университет». Все расчетные результаты получены, лично автором. Автор принимал непосредственное участие в формировании' наборов входных данных для моделирования, а также в адаптации разработанных ранее на кафедре ТПиМЭТ алгоритмов моделирования плазмы и программного обеспечения к выбранным объектам исследований.

Апробация' работы. Основные положения и выводы диссертационной работы докладывались на Международной конференции по микро- и наноэлектронике (1СМКЕ-2009) (Звенигород, 2009), IV Всероссийской 7 конференции "Актуальные проблемы химии высоких энергий" (Москва, 2009) и на 19 Международном симпозиуме по плазмохимии (Бохум, Германия, 2009). Всего сделано 4 доклада.

Публикации. По теме диссертации опубликовано 10 работ, из них 4 тезисов докладов на конференциях, 6 статей в журналах перечня ВАК.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, выводов и списка использованных литературных источников. Общий объем диссертации составляет 134 страницы, включая 60 рисунков и 22 таблицы. Список использованных источников содержит 170 наименований.

Похожие диссертационные работы по специальности «Физическая химия», 02.00.04 шифр ВАК

Заключение диссертации по теме «Физическая химия», Смирнов, Алексей Александрович

ОСНОВНЫЕ РЕЗУЛЬТАТЫ И ВЫВОДЫ

1) Сформирован набор сечений процессов элементарных процессов для молекулы НВг. Проведено тестирование наборов сечений для* всех молекулярных компонентов плазмы при сравнении расчетных данных по интегральным характеристикам электронного газа и таунсендовским кинетическим коэффициентам с данными независимых литературных источников.

2) Предложена полная кинетическая схема (набор реакций, сечений и кинетических коэффициентов), обеспечивающая адекватное описание закономерностей физико-химических процессов образования и гибели заряженных частиц и стационарного состава плазмы НВг.

3) Проведен сравнительный анализ электрофизических параметров плазмы НВг и HCl с привлечением данных диагностики (приведенная напряженность электрического поля E/N, плотность потока ионов Г+) последней. Показано, что различия этих систем связаны с различиями в константах скоростей возбуждения (колебательного, электронного), диссоциативного прилипания и ионизации, определяющих вид ФРЭЭ и кинетику образования-гибели электронов.

4) Проведено исследование стационарных параметров и состава плазмы НВг в условиях тлеющего разряда постоянного тока. Получены расчетные данные по энергетическим распределениям электронов, константам скоростей процессов под действием электронного удара и концентрациям нейтральных и заряженных частиц. Установлено, что определяющая роль в формировании состава нейтральных частиц принадлежит атомно-молекулярными процессам. Показано, что диссоциативное прилипание к НВгу>о не оказывает принципиального влияния на кинетику образования-гибели заряженных частиц.

5) Проведено исследование стационарных параметров и состава плазмы бинарных смесей НВг-Аг, Не, Н2 переменного состава. Установлено, что варьирование начального состава смесей вызывает изменение электрофизических параметров разряда (ФРЭЭ, средней энергия и концентрация электронов) и кинетики процессов при электронном ударе.

4.3. Заключение

Проведен анализ электрофизических параметров плазмы в смесях НВг-Аг, Не, Н2 переменного состава. Установлено отсутствие корреляции между изменением параметра Е/N и средней энергией электронов, связанное с неаддитивным перераспределением каналов потери энергии электронов в неупругих соударениях.

Установлено, что варьирование начального состава смесей не сопровождается принципиальными изменениями кинетики атомно-молекулярных процессов 1118-1121, при этом наблюдаемые эффекты роста степеней диссоциации молекул НВг при разбавлении Аг или Не обусловлены ростом эффективности диссоциации электронным ударом из-за изменения электрофизических параметров плазмы.

Получены расчетные данные по концентрациям заряженных частиц. Установлено, что характер зависимости концентрации электронов от начального состава смесей НВг-Аг, Не зависит от доминирующего механизма гибели электронов при данном давлении газа, в то время как в плазме НВг-Н2 такая зависимость отсутствует. Обнаружено также, что рост содержания Аг или Не приводит к заметному росту плотности потока положительных ионов на поверхность, ограничивающую зону плазмы. Найдено, что для всех исследованных смесей диссоциативное прилипание электронов к НВгу>о не оказывает определяющего влияния на кинетику процессов образования-гибели заряженных частиц из-за низких концентраций колебательно возбужденных молекул.

Список литературы диссертационного исследования кандидат физико-математических наук Смирнов, Алексей Александрович, 2010 год

1. Goldston, R.J. Introduction.to plasma physics / RJ. Goldston, P.H. Rutherford.- Bristol; UK and Philadelphia: Institute of Physics Pub., 1995.-491 p.

2. Bellan; P.M. Fundamentals of plasma physics / P.M. Bellan. Cambridge; New York: Cambridge Univ. Press, 2006. - 628 p.

3. Lieberman, M.A. Principles of plasma discharges and materials processing / M.A. Lieberman, A.J. Lichtenberg. Hoboken, N.J.: Wiley-Interscience, 2005.- 757 p.

4. Полак, JI.C. Теоретическая и прикладная плазмохимия / Л.С. Полак, А.А. Овсянников, Д.И. Словецкий, Ф.В. Вурзель. М.: Наука, 1975. - 304 е.,

5. Словецкий, Д.И. Механизмы химических реакций в неравновесной плазме / Д.И. Словецкий. М.: Наука, 1980. - 310 с.

6. Conrads, Н. Plasma generation and plasma sources / H. Conrads, M. Schmidt. // Plasma Sources Sci. Technol. 2000. - V.9. - P. 441-454.

7. Rossnagel, S.M. Handbook of plasma processing technology: fundamentals, etching, deposition, and surface interactions / S.M. Rossnagel, J.J. Cuomo, W.D. Westwood. -New York: Noyes Publications, 1990. — 523 p.

8. Makabe, T. Plasma electronics: applications in microelectronic device fabrication / T. Makabe, Z. Petrovic. New York: Taylor & Francis, 2006. — 339 p.

9. Roth, J.R. Industrial plasma engineering / J.R. Roth. Bristol, Philadelphia: Taylor & Francis, 1995'. - 730 p.

10. Wolf, S. Silicon processing for the VLSI Era. Volume 1. Prosess technology / S. Wolf, R.N. Tauber. New-York: Lattice Press, 2000. - 922 p.

11. Powell, R.A. PVD for microelectronics: sputter deposition applied, to semiconductor manufacturing / R.A. Powell, S.M. Rossnagel. San Diego; California: Academic Press, 1999. — 435 p.

12. Mattox, D.M. Handbook of physical vapor deposition (PVD) processing / D.M. Mattox. Westwood, N.J.: Noyes Publications, 1998. - 917 p.

13. Плазменная технология в производстве СБИС; под редакцией Н. Айнспрука, Д. Брауна. М.: Мир, 1987. - 472 с.

14. Biederman, Н. Deposition of polymer films in low pressure reactive plasmas / H. Biederman. // Thin Solid Films 1981. - V.86. - P. 125-136.

15. Abe, H. Developments of plasma etching technology for fabrication semiconductor devices / H. Abe, M. Yoneda, N. Fujiwara // Jap. J. App. Phys. -2008.-V.47.-P. 1435-1455.

16. Ивановский, Г.Ф. Ионно-плазменная обработка материалов / Г.Ф. Ивановский, В.И. Петров. М.: Радио и Связь, 1986. - 232 с.

17. Chapman, B.N. Glow discharge processes: sputtering and plasma etching / B.N. Chapman. New York: Wiley, 1980. - 406 p.

18. Cobum, J.W. Plasma etching and reactive ion etching / J.W. Coburn. New York: American Institute of Physics, 1982. - 87 p.

19. Shul, R.J. Handbook of advanced plasma processing techniques / RJ. Shul, S.J. Pearton. Berlin: Springer, 2000. - 653 p.

20. Singer, P. New Frontiers in Plasma Etching / P. Singer // Semiconductor International. 1996. - V. 19. - P. 152-164.

21. Данилин, Б.С. Ионное травление микроструктур / Б.С. Данилин, В.Ю. Киреев. М.: Советское радио, 1979. - 104 с.

22. Распыление твёрдых тел ионной бомбардировкой; под редакцией Р. Бериша: перевод с англ. М.: Мир, 1984. - 336 с.

23. Coburn, J.W. Ion- and electron-assisted gas-surface chemistry An important effect in plasma etching / J.W. Coburn, H.F. Winters // J. Appl. Phys. - 1979. -V.50.-P. 3189-3196.

24. Sugawara, M. Plasma etching: fundamentals and applications / M. Sugawara. -New York: Oxford University Press Inc, 1998. 347 p.

25. Bondur, J.A. Dry process technology (reactive ion etching) / J.A. Bondur // J. Vac. Sci. Technol. 1976. - V.13. -P. 1023-1029.

26. Minkiewicz, V.J. Triode plasma etching / V.J. Minkiewicz, B.N. Chapman // Appl. Phys. Lett. 1979. - V.34. - P. 192-193.

27. Lieberman; M'.A. Design of High-Density Plasma Sources for Materials Processing / M.A. Lieberman; R.A. Gottscho // Phys. Thin Films; New York: Academic Press 1994. - V. 18. - P: 1-1191

28. Popov,ЛЭ.А. Highdensity Plasma Sources: design, physics-and-performance / O: A. Popov. Park Ridge; N. J.: Noyes Publications, 1995. - 445 p:

29. Chen, F.F. Plasma ionization by helicon waves / F.F. Chen- // Plasma Phys. Control. Fusion. 1991. - V.33. - P. 339-364.

30. Layadi, N. An introduction to plasma etching for VLSI circuit technology / N. Layadi, J.I. Colonell, J. Lee // Bell Labs Tech. J. 1999. - V.4. - P. 155-171.

31. Roosmalen, A. J. Dry etching for VLSI. / A. J. Roosmalen,.J. A. G. Baggerman, S. J. №. Brader // New-York: Plenum Press., 1991.-450 p.

32. Williams, P.F. Plasma processing of semiconductors / P.F. Williams. Springer,1997.-613 p.

33. Roth, J:R. Industrial plasma engineering: Applications to nonthermal plasma processing / J.R. Roth. Institute of Physics Pub., 2001. - 658 pi

34. Winters, H.F. Surface processes in plasma assisted etching environments / H.'F. Winters, J.W. Coburn, T.G. CHuang // J. Vac. Sci. Technol. B. 1983. - V.l. -P. 469-480.

35. McNevin, S.C. Radio frequency plasma etching of Si/Si02 by C12/02: Improvements resulting from the time modulation .of the processing-gases / S.C. McNevin // J. Vac. Sci. Technol. B, 1990: - V.8. - P. 1185-1191.

36. Zau, G.C.H. Effects of 02 feed gas impurity on G12 based:plasma,-etching of polysilicori-/ G.C.H. Zau, H.H. Sawin // Journal- of Electrochemical Society. -1992.-V.139.-P. 250-256.

37. Efremov, А.М: A comparative study of plasma parameters and gas phase compositions in C12 and HCl direct current glow discharges / A.M. Efremov, V.l. Svettsov, D.V. Sitanov, D.I. Balashov // Thin Solid Films. 2008. - V.516. -P. 3020-3027.

38. Efremov, A.M. Plasma parameters and etching mechanisms of metals and semiconductors in hydrogen chloride / A.M. Efremov, S.A. Pivovarenok, V.l. Svettsov // Russian Microelectronics. 2009. - V.38. - P. 147-159.

39. Senga, T. Chemical dry etching mechanisms of GaAs surface by HCl and Cl2 / T. Senga, Y. Matsumi, M. Kawasaki // J. Vac. Sei. Technol. B. 1996. - V.14. -P. 3230-3238.

40. Saito, J. Effects of etching with a mixture of HCl gas and H2 on the GaAs surface cleaning in molecular-beam epitaxy / J. Saito, K. Kondo // J. Appl. Phys. 1990. - V.67. - P. 6274-6280.

41. Орликовский, A.A. Проблемы плазмохимического травления в микроэлектронике / A.A. Орликовский, Д.И. Словецкий. -Микроэлектроника, 1987. Т. 16. - 497 с.

42. Словецкий, Д.И. Гетерогенные реакции в неравновесной галогенсодержащей плазме. В кн. «Химия плазмы» / Д.И. Словецкий. М.: Энергоатомиздат, 1989. - Вып. 15. - 208 с.

43. Flamm, D.L. Basic chemistry and mechanisms of plasma etching / D.L. Flamm, V.M. Donnelly, D.E. Ibbotson // J. Vac. Sei. Technol. B. 1983. - V.l. - P. 2330.

44. Моро, У. Микролитография / У. Моро. M.: Мир, - Т.2., 1990. - 600 с.

45. Данилин, Б.С. Применение низкотемпературной плазмы для травления и очистки материалов / Б.С. Данилин, В.Ю: Киреев. — М.: Энергоатомиздат, 1987.-264 с.

46. Frank, W.E. Dry etching of single-crystal silicon trench in'hydrogen iodide containing plasmas / W.E. Frank, T. Chabert // J. Electrochem. Soc. 1993. V.140J-P. 490-495.

47. Richter, H.H. Silicon dry etching in hydrogen- iodide plasmas: surface diagnostics and technological applications / H.H. Richter, M.A. Aminpur, H.B. Erzgraber, A. Wolff// Jpn. J. Appl. Phys. 1997. - V.36. - P. 4849-4853.

48. Pearton, S.J. High-rate, anisotropic dry etching of InP in Hi-based discharges / S.J. Pearton, U.K. Chakrabarti, A. Katz, F. Ren, T.R. Fullowan // Appl. Phys. Lett. 1992. - V.60. - P. 838-840.

49. Pearton, S.J. New dry-etch chemistries for III-V semiconductors / S.J. Pearton, U.K. Chakrabarti, F. Ren, C.R. Abernathy // Materials Science and Engineering: B. 1994. — V.25. — P. 179-185.

50. Lee, S. A new hydrogen chloride plasma-based copper etching process / S. Lee, Y. Kuo // Jpn. J. Appl. Phys. 2002. - V.41. - P. 7345-7352.

51. Kuo, Y. A novel plasma-based copper dry etching method / Y. Kuo, S. Lee // Jpn. J. Appl. Phys. 2000. - V.39. - P. 188-190.

52. Layadi, N. An introduction to plasma etching for VLSI circuit technology / N. Layadi, J.I. Colonell, J. Lee // Bell Labs Technical Journal. 1999. - V.4. - P. 155-171.

53. Mahorowala, A.P:. Etching of polysilicon in inductively coupled C12 and HBr discharges. I. Experimental characterization of polysilicon profiles / A.P. Mahorowala, H.H: Sawin, R. Jones, A.H. Labun// J. Vac. Sci. Technoll B. -2002,-V.20:-P; 1055-1063.

54. Bestwick, T.D. Reactive ion etching using bromine containing plasmas / T.D. Bestwick, G.S. Oehrlein // Journal of Vacuum Science and Technology. A. -1990. V.8. - P. 1696-1701.

55. Desvoivres, L. Sub-0.1 jim gate etch processes: Towards some limitations of the plasma technology? / L. Desvoivres, L. Vallier, O. Joubert // J. Vac. Sci. Technol. B. -2000. V. 18. - P. 156-165.

56. Song, Y.S: Nanometer-sized patterning of polysilicon thin* films in a HBr/Ar plasma / Y.S. Song, Y.H. Byun, C.W. Chung // Appl'. Chem. 2003. - V.7. - P., 161-164.

57. Vicknesh, S. Etching characteristics of HBr-based chemistry on InP using1 inductively coupled plasma technique- / S. Vicknesh, A. Ramam // J. Electrochem. Soc. 2004. - V. 151. - P. C772-C780.

58. Lim, E.L. Inductively coupled plasma etching of InP with HBr/02 chemistry / E.L. Lim, J.H. Teng, L.F. Chong, N. Sutanto // J. Electrochem. Soc. 2008. -V.155.-P. D47-D51.

59. Bouchoule, S. Anisotropic and smooth inductively coupled plasma (ICP) etching of III-V laser waveguides using HBr-02 chemistry / S. Bouchoule, S. Azouigui, S. Gullet, G. Patriarche, L. Largeau // J. Electrochem. Soc. 2008. -V.155.-P. H778-H785.

60. Sultana, N. HBr based inductively coupled plasma etching of high aspect ratio nanoscale trenches in InP: Considerations for photonic applications / N. Sultana, W. Zhou, T.P. LaFave, D.L. MacFarlane // J. Vac. Sci. Technol. B. 2009. -V.27.-P. 2351-2356.

61. Deng, L. ICP etching of InP and related materials using photoresist as mask / L. Deng, A. L. Goodyear, M. Dineen // Proceedings of SPIE. 2004. - V.5280. -P. 838-843.

62. Brcka, J. Dry and wet etching of AIIIBV materials for optoelectronics devices / J. Brcka, A. Satka; J. Skriniarova, V. Tvarozek, P. Vronsky // Fizika» A. 1995: -V.4.-P: 205-215.

63. Kim, D.W. A study of GaN etching characteristics using HBr-based inductively coupled plasmas / D.W. Kim, C.H. Jeong, H.Y. Lee, H.S. Kim, Y.J. Sung, G.Y. Yeom // Solid-State Electronics. 2003. - V.47. - P; 549-552.

64. Pearton, S.J. ECR plasma etching of GaN, A1N- and InN using iodine of bromine chemistries / S.J. Pearton;. C.R. Abernathy, C.B: Vartuli // Electronics Lett. 1994. - V.30. - P. 1985-1986.

65. Chen, J. Investigation of etching properties of HfO based high-k dielectrics using inductively coupled plasma / J. Chen, W.J. Yoo, Z.Y. Tan, Y. Wang, D.S.H. Chan //J. Vac. Sci. Technol. A 2004. - V.22. - P. 1552-1558.

66. Vitale, S.A. Etching of organosilicate glass low-k dielectric films in halogen plasmas / S.A. Vitale; H.H. Sawin // J. Vac. Sci. Technol. B. 2002. - V.20. -P. 651-660.

67. Lee, S. Hydrogen bromide plasma-copper reaction in a new copper etching process / S. Lee, Y. Kuo // Thin Solid Films. 2004. - V.457. - P. 326-332.

68. Kuo, Y. A new, room-temperature, high-rate plasma-based copper etch process / Y. Kuo, S. Lee // Vacuum. 2004. - V.74. - P. 473-477.

69. Lee; J:H. Study of shallow silicon trench etch process using planar inductively coupled plasmas / J.H. Lee, G.Y. Yeom // J. Vac. Sci. Technol. A. 1997. -V.15.-P. 573-578.

70. Kuroda, S. Abrupt reduction in poly-Si etch rate in HBr/02 plasma / S. Kuroda, №. Iwakuro // J. Vac. Sci. Technol. B. 1998. - V.16. -P. 1846-1850:

71. Chang, K.M. Highly selective etching for polysilicon and etch-induced damage to gate oxide with halogen-bearing electrone-cyclotron-resonance plasma / K.M. Chang, T.H. Yeh, I.C. Deng // Journal of Applied Physics. 1996. - V.80. - P. 3048-3055.

72. Pearton, S.J. New dry-etch chemistries for III-V semiconductors / S.J. Pearton, U.K. Chakrabarti, F. Ren, C.R. Abernathy, A. Katz, W.S. Hobson, C. Constantine // Materials Science and Engineering: B. 1994. - V.25. - P. 179185:

73. Pearton, S.J. Characteristics of III-V dry etching in HBr-based1 discharges / S J. Pearton, U.K. Chakrabarti, E. Lane, A.P. Perley, C.R. Abernathy, W.S. Hobson //J. Electrochem. Soc. 1992. -V. 139. - P. 856-864.

74. Zhou, W. HBr-based inductively coupled plasma etching of high aspect ratio nanoscale trenches in GalnAsP/InP / W. Zhou, N. Sultana, D.L. MacFarlane // J. Vac. Sci. Technol.B. 2008. - V.26. - P. 1896-1902.

75. Pearton, S.J. Temperature-dependent dry etching characteristics of III-V semiconductors in HBr- and Hl-based discharges / S.J. Pearton, F. Ren, C.R. Abernathy // Plasma Chem. Plasma Proc. 1994. - V.14. - P. 131-150.

76. Cheng, C.C. Mechanism for anisotropic etching of photoresist-masked, polycrystalline silicon in HBr plasmas / C.C. Cheng, K.V. Guinn; V.M. Donnelly // J. Vac. Sci. Technol. B. 1996. - V. 14. - P. 85-90.

77. Nakamura, M. Mechanism of high selectivity and impurity effects in HBr RIE: In-situ surface analysis / M. Nakamura, K. Koshino, J. Matsuo // Jpn. J. Appl. Phys. 1992. - V.31. - P. 1999-2005.

78. Lishan, D. InP Processing Using an HBr High Density ICP Plasma / D. Lishan, J. Lee, G. Kim // GaAs MANTECH, International Conference on Compound Semiconductor Manufacturing Technology in Las Vegas. 2001. .

79. Nakamura, M. Very high selective n+ poly-Si RIE with carbon elimination / M: Nakamura, K. Iizuka, H. Yano // J. Appl. Phys. 1989. - V.28. - P. 2142-2146.

80. Pargon, E. Characterization of resist-trimming processes by quasi in situ x-ray photoelectron spectroscopy / E. Pargon; O. Joubert, S. Xu, T. Lill // J. Vac. Sci: Technol. B. 2004. - V.22. - P. 1869-1879.

81. Pargon, E. Mass spectrometry studies of resist trimming process in HBr/02 and Cl2/02 chemistries / E. Pargon, O. Joubert, T. Chevolleau, G. Gunge, S. Xu, T. Lill // J. Vac. Sci. Technol. B. 2005. - V.23. - P. 103-112.

82. Bazin, A. Impact of HBr and Ar cure plasma treatments on 193 ran photoresists / A. Bazin, E. Pargon, X. Mellahoui, D. Perret, B. Mortini, O. Joubert // Proceedings of SPIE. -2008. V.6923. - P. 692337.1-692337.8.

83. Pargon, E. Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists / E. Pargon, K. Menguelti, M. Martin, A. Bazin, O. Chaix-Pluchery, C. Sourd, S. Derrough, T. Lill, O. Joubert // J. Appl. Phys. -2009.-V. 105. P. 094902.

84. Pargon, E. Plasma impact on 193 nm photoresist linewidth roughness: role of plasma vacuum ultraviolet light / E. Pargon, M. Martin, K. Menguelti, L. Azarnouche, J. Foucher, O. Joubert // Appl. Phys. Lett. 2009. - V.94. P. 103111.

85. Ling, L. Investigation of surface modifications of 193 and 248 nm photoresist materials during low-pressure plasma etching / L. Ling, X. Hua, X. Li, G. S. Oehrlein // J. Vac. Sci. Technol. B. 2004. - V.22. - P. 2594-2603.

86. Mahorowala, A. P. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resist / A.P. Mahorowala, D.R. Medeiros. // J. Vac. Sci. Technol. A. 2001. - V. 19. - P. 1374-1378.

87. Dalton, T.J. Microtrench formation in polysilicon plasma etching over thin gate oxide / T.J. Dalton, J.C. Arnold, H.H. Sawin, S. Swan, D. Corliss // J. Electrochem. Soc. 1993. - V.140. -P. 2395-2401.

88. Chang, JiP; Kinetic study of low energy ion-enhanced polysilicon etching using» Cl^ C12, andV <£t beam; scattering;. / J.P: Chang; H;№ Sawin, // K Vac:Sci. Technol. A. 1997.-V.15.-P. 610-615.

89. Jin, W. Plasma-surface kinetics and simulation of feature profile evolution in C12 + HBr etching of polysilicon / W. Jin, S.A. Vitale, H.H. Sawin // J. Vac. Sci. Technol. A. 2002. - V.20; - P. 2106-2114.

90. Donnelly, V.M. Surface chemistiy during plasma etching of silicon / V.M. Donnelly, IiP. Herman, C.C. Cheng, K.V. Guinn // Pure & Appl; Chem. 1996. -V.68.-P. 1071-1074.

91. Low, C.H. Caracterization of Si(l00), surface after high density HBr/C12/02 plasmaietching;/ C.Hi Low, W.S. Chin; K.L. Tan F.C. Loh // Jpn; J. Appl. Phys. -2000.-V.39.-P. 14-19.

92. Gunge, G. Plasma-wall interaction: during silicon; etching processes in high-density HBr/C12/02 plasmas: / G. Gunge, M. Kogelschatz, O. Joubert; N. Sadeghi // Plasma Sources Sci. Technology. 2005. - V.14. - P. S42-S52.

93. Desvoivres, L. X-ray photoelectron spectroscopy investigation1 of sidewall ' passivation films formed during gate etch processes / L. Desvoivres, L. Vallier,

94. Joubert//J. Vac. Sci. Technol. B;-2001.-V. 19.-P. 420-426.

95. Kogelschatz, M. Analysis of the chemical composition and deposition mechanism of the Si04-Cly layer on the plasma chamber walls during silicon gate etching / Mi Kogelschatz, G. Gunge, N.Sadeghi // J. Vac. Sci. Technol. A. -2004. V.22. - P. 624-635.

96. Efremov, A.M. On the applicability of self-consistent global model for the characterization of. Cl2/Ar inductively coupled plasma / A.M. Efremov, G.H. Kim, J.G. Kim, A.V. Bogomolov, C.I. Kim // Microelectronic Engineering. -2007.-V.84.-P. 136-143.

97. Efremov, A.M. Inductively coupled Cl2/Ar plasma: experimental investigation and modeling / A.M. Efremov, D.P. Kim, C.I. Kim // J. Vac. Sci. Technol. A. -2003.-V.21.-P. 1568-1573.

98. Fuller, N.C.M. Optical actinometry of Cl2, CI, Cl+, and Ar+ densities in inductively coupled Cl2-Ar plasmas / N.C.M. Fuller, I.P. Herman, V.M. Donnelly // J. Appl. Phys. 2001. - V.90. - P. 3182-3191.

99. Kim, M. Effect of gas mixing ratio on etch behavior of Zr02 thin films in BCl3/He inductively coupled plasma / M. Kim, N.-K. Min, S.J Yun., H.W. Lee, A. Efremov, K.-H. Kwon // J. Vac. Sci. Technol. A. 2008. - V.26. - P. 344351.

100. Efremov, A. M., Svettsov V. I., Lemehov S.S. Plasma parameters and composition in HC1/X (X=C12, H2, Ar) dc glow discharges // Proc. Int. Conf. "Micro- and nanoelectronics-2009". Moscow-Zvenigorod. 05-09.2009. P2-36.

101. Врублевский, Э.М. Химический состав и скорость травления монокремния в плазме бинарной смеси Аг-С12 / Э.М. Врублевский, А.В. Гусев, А.Г. Жидков // Химия высоких энергий. 1990. - Т.24. №4. - с. 356-360.

102. Врублевский, Э.М. Релаксационные процессы и скорость травления монокремния в смеси Аг-С12 / Э.М. Врублевский, А.В. Гусев, А.Г. Жидков // Труды ФИАН. 1989. - Т. 10. - с. 3-7.

103. Efremov, A. Plasma parameters and active species kinetics in an inductively coupled HBr plasma / A. Efremov, B.-G. Choi, S. Nahm. H.-W. Lee. N.-K.

104. Min. K.-H. Kwon // Journal of the Korean Physical Society. 2008. - V.52. - P. 48-55.

105. Lee, H.-W. Etching characteristics and mechanism of InP in inductively coupled HBr/Ar plasma / H.-W. Lee, M. Kim, N.-K. Min, A. Efremov, C.-W. Lee, K.-H. Kwon // Japanese Journal of Applied Physics. 2008. - V.47. - P. 6917-6922.

106. Kwon, K.-H. Etch mechanism of In203 and Sn02 thin films in HBr-based inductively coupled plasmas / K.-H Kwon, A. Efremov, M. Kim, N.-K. Min, J. Jeong, M.-P. Hong, K. Kim // J. Vac. Sci. Technol. A 2010. - V.28. - P. 226231.

107. Kwon, K.-H. Etching characteristics and mechanism of indium tin oxide films in an inductively coupled HBr/Ar plasma / K.-H. Kwon, A. Efremov, Y.-H. Ham, N.-K. Min, H.-W. Lee, M.-P. Hong, K. Kim // J. Vac. Sci. Technol. A. 2010. -V.28.-P. 11-15.

108. Ham, Y.-H. Etching characteristics and mechanism of ZnO thin films in inductively coupled HBr/Ar plasma / Y.-H. Ham, A. Efremov, S.-J. Yun, J.-K. Kim, N.-K. Min, K.-H. Kwon // Thin Solid Films. 2009. - V.517. - P. 42424245.

109. Efremov, A. Etching characteristics of Pb(Zr,Ti)03, Pt, Si02 and Si3N4 in an inductively coupled HBr/Ar plasma / A. Efremov, N.-K. Min, J. Jeong, Y. Kim, K.-H. Kwon //Plasma Sources Sci. Technol. 2010. - V. 19. -P. 1-6.

110. Malyshev, M.V. Diagnostics of chlorine inductively coupled plasmas. Measurement of electron temperatures and electron energy distribution functions / M.V. Malyshev, V.M. Donnelly // J. Appl. Phys. 2000. - V.87. - P. 16421649.

111. Efremov, A.M. Applicability of self-consistent global model for characterization of inductively coupled Cl2 plasma / A.M. Efremov, G.H. Kim, J.G. Kim, A.V. Bogomolov, C.I. Kim// Vacuum. -2007.- V.81. -P. 669-675.

112. Efremov, A.M. Plasma parameters and chemical kinetics of an HCl DC glow discharge / A.M. Efremov, G.H. Kim, D.I. Balashov, C.I. Kim // Vacuum. -2006.-V.81.-P. 244-250.

113. Efremov, A.M. The parameters of plasma and the kinetics of generation and loss of active particles under conditions of discharge in HCl / A. M. Efremov, V.l. Svetsov // High Temperature. 2006. - V.44. - P. 189-198.

114. Sommerer, TJ. Monte Carlo-fluid model of chlorine atom production in Cl2, HCl and CC14 radio-frequency discharges for plasma etching / T.J. Sommerer, M.J. Kushner // J. Vac. Sei. Technol. B. 1992. - V.10. - P. 2179-2187.

115. Trainor, D.W. Dissociative attachment of electrons to Br2 and HBr / D.W. Trainor, M.J.W. Boness // Appl. Phys. Lett. 1978. - V.32. - P. 604-606.

116. Speck, T. Electron attachment in HBr and HCl / T. Speck, J-L. Le Garrec, S. Le Picard, A. Canosa, J.B.A. Mitchell, B.R. Rowe // J. Chem. Phys. 2001. -V.114.-P. 8303-8309.

117. Smith; D. Studies of the reactions HBr(HI)+e to or from Br-(I-)+H using the FALP and SIFT techniques / D. Smith, N.G. Adams // J., Phys. B. 1987. -V.20. -P. 4903-4913.

118. Petrovic, Z. Lj. Kinetic phenomena in charged particle transport in gases, swarm parameters and cross section data / Z. Lj Petrovic, M. Suvakov, Z. Nikitovic, S.V

119. Dujko, O. Sasic, J. Jovanovic, G. Malovic, V. Stojanovic // Plasma Sources Sci. Technol. 2007. - V. 16. - P. S1-S12.

120. Sasic, O. Transport coefficients for electrons in mixtures of Ar and HBr / O. Sasic, S.Dujko, Z.Lj. Petrovic // Jpn. J. Appl. Phys. 2007. - V.46. - P. 35603565.

121. Ефремов, A.M. Параметры плазмы и механизмы травления металлов и полупроводников в хлороводороде / A.M. Ефремов, С.А. Пивоварёнок, В .И. Светцов // Микроэлектроника. 2009. - Т.38. - с. 163-175.

122. Lister, G. G. Low pressure gas discharge modeling / G.G. Lister // J. Phys. D: Appl. Phys. 1992.-V.25.-P. 1649-1680.

123. Александров, H.JI: Энергетическое распределение и< кинетические коэффициенты электронов в газах в электрическом разряде.В кн. «Химия-плазмы» / H.JI. Александров, Э.Е. Сон М.: Атомиздат, 1975, вып. 7. - С. 35-75.

124. Sherman, B:J. The. difference differential equation of electron energy distribution in a gas / B';J. Sherman // J. Math. Anal. Appl: - 1960. - V.l. - P: 324-354.

125. Смит, К. Численное моделирование газовых лазеров / К. Смит, Р. Томсон — М.: Мир, 1981.-515 с.

126. Yoshida, S. Effects of electrons produced by ionization on calculated electron-energy distribution / S. Yoshida, A.V. Phelps, L.C. Pitchford // Phys. Rev. A.1983. V.27. - P. 2858-2867.

127. Lide, D.R. CRC Handbook of chemistry and physics/ D.R. Lide. New York: CNR Press., 1998-1999. 2496 p.

128. Lee, C. Global model of Ar. 02. Cl2. and Ar/02 high-density plasma discharges / C. Lee, M. Liebennan // J. Vac. Sci. Technol. A. 1995. - V.13. - P. 368-380.

129. Chantry, PJ. A simple formula for diffusion calculations involving wall reflection and low density / P.J. Chantry // J. Appl. Phys. 1987. - V.62. - P. 1141-1148.

130. Бровикова, И.Н. Кинетические характеристики образования и гибели атомов водорода в положительном столбе тлеющего разряда в Н2 / И.Н. Бровикова, Э.Г. Галиаскаров, В.В. Рыбкин, А.Б. Бессараб // ТВТ. 1998. -Т.35. - с. 706.

131. Dzotsenidze, Z. A study of heterogeneous recombination of bromine atoms on solid surface / Z. Dzotsenidze, D. Petviashvili, M. Museridze, K. Sulaberidze // Bulletin of the Georgian Academy of Sciences. 2001. - V.164.

132. Г46. Физические величины / Под1 ред^ Григорьева И.С., Мейлихова Е.З. М.: Энергоатомиздат, 1991. 1232 с.

133. Гершензон, ЮМ. Гетерогенная релаксация колебательной энергии молекул / Ю.М. Гершензон, В.Б. Розенштейн, С.Я. Уманский. М.:Химия плазм, Атомиздат, 1977 — 61 с.

134. Turner, М.М. Modeling of the self-sustained, discharge-excited xenon-chlorine laser / M.M. Turner, P.W. Smith // IEEE Transaction on Plasma Sci. — 1991. — V.19.-P. 350-360.

135. Rousseau, A. Microwave discharge in H2: influence of H atom density on power balance / A. Rousseau, A. Granier, G. Gousset, P. Leprince // J. Phys. D: Appl. Phys. — 1994. V.27. — P. 1412-1422

136. Layberry, R.L. The modeling of radio frequency hydrogen plasmas in the reactive ion etching of GaAs / R.L. Layberry, C.G. Pearce, J.L. Sullivan // J. Phys. D: Appl. Phys. 1997. - V.30. - P. 3187-3196.

137. Neyts, E. Particle-in-cell/Monte Carlo simulations of a low-pressure capacitively coupled radio frequency discharge: Effect of adding H2 to an Ar discharge / E. Neyts, M. Yan, A. Bogaerts, R. Gijbels // J. Appl. Phys. - 2003. - V. 93. - P. 5025-5033.

138. Loureiro, J. Electron and vibrational kinetics in the hydrogen positive column / J. Loureiro, C.M. Ferreira // J. Phys. D: Appl. Phys . 1989. - V. 22. - P. 16801691.

139. Подбор и анализ сечений элементарных процессов, инициируемых электронным ударом в плазме галогеноводородов / Ю.А. Соколова, В.Ф. Соколов, Е.Н. Гордеева; ИГХТУ. Иваново, 1998 - 30 с. - Деп. в ВИНИТИ, г. Москва, № 3946 - Б-98.

140. Hayes, T.D. Absolute electron-impact-ionization cross-section measurements of halogen atoms / T.D. Hayes, R.C. Wetzel, R.S. Freund // Phys. Rev. A. 1987. -V.35.-P. 578-584.

141. Kurepa, M.V. Electron-bromine molecule total ionisation and electron attachment cross sections / M.V. Kurepa, D.S. Babic, D.S. Belie // J. Phys. B. -1981.-V.14.-P. 375-384.

142. Gallagher, J. W. An annotated compilation and appraisal of electron swarm data* in electronegative gases / J.W. Gallagher, E.C. Beaty, J. Dutton, L. Pitchford // J. Phys. Chem. Ref. Data 1983. -V. 12. - P. 109-152.

143. Ефремов, A.M*. Уточнение сечений возбуждения > электронных состояний молекул при математическом моделировании плазмы хлора1 / A.M. Ефремов, В.И. Светцов, В.П. Михалкин // Химия Высоких Энергий 1995. - Т.29. - с. 492.

144. Morgan, W.L. http://www.kinema.com/downIoad.htm (01.11.2010).

145. Morgan, W.L. Electron collision data for plasma chemistry modeling / W.L. Morgan // Advances In Atomic, Molecular, and Optical Physics. 2000 - V.43. -P.79-110.

146. Rescigno, T.N. Effective potential methods in variational treatments of electron-molecule collisions II. Application to HBr / T.N. Rescigno // J. Chem. Phys. -1996.-V.104.-P. 125-129.

147. Morgan, W.L. A critical evaluation of low-energy electron impact cross sections for plasma processing modeling. I: Cl2, F2, and HC1 / W.L. Morgan // Plasma Chem. Plasma Proc. 1992. - V. 12. - P. 449-476.

148. Horacek, J. Calculation of dissociative electron attachment and vibrational excitation cross section of HBr / J. Horacek, W. Domcke // Chem. Phys. Lett. -1995.-V.234.-P. 304-308.

149. Horacek, J. Calculation of cross sections for vibrational excitation and dissociative attachment in electron collisions with HBr and DBr / J.' Horacek, W.Domcke // Phys. Rev. A. 1996: - V.53. - P:2262-2271.

150. Cizek, M. Inelastic low-energy electron collisions with the HBr and DBr. molecules: Experiment and theory / M. Cizek, J. Horacek, A. Sergenton, M. Allan, D. Popovic // Phys. Rev. A. 2001. - V.63. - P. 062710-1 - 062710-14.

151. Kota, G. P. The recombination of chlorine atoms at surfaces / G.P. Kota, J.W. Coburn, D.B. Graves // J. Vac. Sci. Technol. A. 1998. - V.16. - P. 270-277.

152. Kota, G.P. Heterogeneous recombination of atomic bromine and fluorine / G.P. Kota, J.W. Coburn, D.B. Graves // J. Vac. Sci. Technol. A. 1999. - V. 17. - P. 282-290.

153. Кондратьев, B.H. Константы скоростей газофазных реакций. Справочник. / В.Н. Кондратьев. М.: Наука, 1971. - 351 с.

154. Efremov, A. Model-based analysis of plasma parameters and active species kinetics in C12/X (X = Ar, He, N2) inductively coupled plasmas / A. Efremov, N.-K. Min, B.-G. Choi, K.-H. Baek, K.-H. Kwon // J. Electrochem. Soc. -2008. V. 155. - P. D777-D782.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.