Физико-технологические основы формирования трехмерных микроструктур УБИС плазменными методами тема диссертации и автореферата по ВАК РФ 05.27.01, доктор технических наук Путря, Михаил Георгиевич

  • Путря, Михаил Георгиевич
  • доктор технических наукдоктор технических наук
  • 2002, Москва
  • Специальность ВАК РФ05.27.01
  • Количество страниц 278
Путря, Михаил Георгиевич. Физико-технологические основы формирования трехмерных микроструктур УБИС плазменными методами: дис. доктор технических наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Москва. 2002. 278 с.

Оглавление диссертации доктор технических наук Путря, Михаил Георгиевич

Общая характеристика работы.

1. Современные проблемы разработки процессов плазменного травления для УБИС.

1.1 Особенности применения процессов ПТ при создании трехмерных субмикронных микроструктур.

1.2 Специфика плазменного оборудования, используемого в субмикронной технологии.

1.3 Технологические проблемы обеспечения аспектного отношения микроструктур.

1.4 Исследование особенностей травления субмикронных микроструктур.

1.4.1 Травление антиотражающих покрытий (АОП).

1.4.2. Анализ особенностей травления диэлектрических слоев субмикронных микроструктур.

1.4.3. Особенности травления диэлектриков с высоким аспектным соотношением.

1.5. Анализ характерных проблем селективного травления диэлектрических слоев микроструктур.

1.5.1 Существующие проблемы обеспечения селективности травления по отношению к нитриду кремния.

1.5.2 Существующие проблемы обеспечения селективности травления по отношению к окислу кремния.

1.6. Обзор проблем травления субмикронных канавок в кремнии.

1.7 Анализ процесса формирования выемки в кремнии.

1.7.1. Возможности радикального травления.

1.8. Проблемы, возникающие при травлении затвора.

1.8.1. Особенности процесса вскрытия маски проводника затвора реактивным ионным травлением.

1.8.2. Анализ проблем, возникающих при травлении стековых проводников затвора.

1.8.3. Особенности травления слоев проводников межсоединений.

Выводы и постановка задач.

2. Методология разработки процессов формирования трехмерных структур УБИС плазменными методами.

2.1 Выбор аппаратной базы для процесса плазменного травления.

2.2 Анализ особенностей воздействия плазмы на обрабатываемые структуры

2.3 Принципы выбора рабочих газов.

Выводы.

3. Специализированные реакторы плазменного травления для создания субмикронных трехмерных структур УБИС.

3.1. Общие требования к современным плазменным источникам.

3.2. Исследование и оптимизация параметров магнетронного источника плазмы

3.2.1. Анализ влияния режима охлаждения подложки на параметры травления

3.2.2. Исследование влияния межэлектродного расстояния на параметры травления.

3.2.3. Изучение влияния индукции магнитного поля и мощности разряда на параметры травления.

3.3. Анализ и оптимизация параметров источников индукционно- и трансформаторно-связанной плазмы.

3.3.1 Исследование и оптимизация параметров реактора индукционной плазмы

3.3.2 Параметры процессов травления в реакторе индукционной плазмы.

3.3.3 Исследование параметров реактора трансформаторно-связанной плазмы

3.3.4 Параметры процессов травления в реакторе трансформаторно-связанной плазмы.

3.3.5. Дополнительные возможности ИСП и ТСП реакторов.

Выводы.

4. Исследование свойств и разработка специализированных газовых составов для процессов плазменного формирования микроструктур.

4.1 Особенности свойств газовой фазы разряда в многокомпонентных газовых смесях.

4.2. Исследование особенностей примесного загрязнения поверхности кремния после плазменной обработки в многокомпонентных газовых смесях.

4.3. Влияние изменений морфологии и химического состава поверхности кремния после плазменной обработки на ее адгезионные свойства.

4.3.1. Исследование влияния плазменной обработки на адгезионные свойства поверхности кремнийсодержащих слоев УБИС по отношению к фоторезисту

4.4. Исследование влияния плазменной обработки на электрофизические свойства МОП структур.

Выводы.

5. Исследование и разработка специализированных процессов плазменного формирования трехмерных микроструктур УБИС.

5.1. Особенности влияния плазменной обработки в высокоплотной плазме на состояние поверхности кремниевых микроструктур.

5.2. Исследование и разработка процессов плазменного формирования микроструктур по технологии самоформирования.

5.3. Анализ влияния маскирующих слоев на геометрические параметры трехмерных элементов УБИС при плазменном травлении.

5.4. Плазменное профилирование кремния для микромеханических систем.240 5.5 Формирование элементов пленочных структур в интегральных КНИмикросистемах.

Выводы.

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Физико-технологические основы формирования трехмерных микроструктур УБИС плазменными методами»

АКТУАЛЬНОСТЬ. Ярко выраженная тенденция современной микроэлектроники - увеличение степени интеграции интегральных схем и соответствующее уменьшение размеров элементов. С целью увеличения плотности упаковки элементов в современной технологии широко используются так называемые «трехмерные структуры», в которых активные элементы располагаются на боковой поверхности специальных щелевых областей. Поэтому поверхность современных ультрабольших интегральных схем (УБИС) представляет собой достаточно сложный трехмерный микрорельеф. Такие трехмерные структуры в настоящее время могут быть сформированы лишь с использованием плазменных технологий, которые обеспечивают необходимую прецизионность обработки.

Вместе с тем для эффективного использования плазменных процессов в производстве УБИС, имеющих минимальные топологические размеры 0.25 мкм и меньше, необходимо решение ряда специфических проблем.

К ним относятся, например такие, как необходимость использования специальных антиотражающих покрытий и тонких менее плазмостойких фоторезистов, высокие аспектные соотношения геометрии формируемых структур, введение дополнительных этапов травления твердой маски, которые требуются при новых уровнях размеров и аспектных соотношениях формируемых элементов, для которых прямая литография уже не подходит, но повышаются требования к селективности и анизотропии травления, а также к уровням радиационного и химического воздействия на обрабатываемую поверхность.

В ближайшем будущем возросшая плотность упаковки элементов и технологические ограничения предъявят еще более жесткие требования к изменению ширины линии по диаметру пластины, микрозагрузочному эффекту и привносимой дефектности.

Традиционно качество процессов плазменного травления оценивается рядом параметров. К ним относятся: скорость травления, однородность травления, возможность контроля и управления профилем травления, уровень радиационного и химического воздействий на обрабатываемую поверхность и находящиеся на этой поверхности активные приборы, а также возможность устранения таких воздействий или снижение их уровня, наличие послепроцессной коррозии, загрузочный эффект. Очень часто попытки одновременной оптимизации этих параметров ведут к существенному росту технических проблем, связанных с обеспечением необходимых параметров физических и химических процессов, протекающих в плазменном разряде.

Для решения этих проблем необходимо осуществить переход от традиционных многофункциональных систем травления в низкоплотной плазме с емкостной связью к системам со средней и высокой плотностью плазмы, использующим экзотические или сильно полимеризующиеся газовые среды, разработанные исключительно для одного типа обрабатываемой пленки.

В связи с этим при проектировании изделий с субмикронными топологическими нормами приходится разрабатывать и новые процессы плазменного травления, включая создание специализированного плазменного оборудования. При этом разработчики технологических процессов сталкиваются со сложным комплексом взаимосвязанных методических и физико-технологических проблем, включающих разработку, тестирование и оптимизацию параметров плазменных реакторов, исследование и решение которых требует больших материальных и временных затрат.

Проблемам разработки плазменных технологий во всем мире уделяется значительное внимание. Большой вклад в развитие научных основ плазменных технологий микроэлектроники внесли такие отечественные исследователи, как A.A. Орликовский, Д.И. Словецкий, С.А. Неустроев, В.Ю. Киреев, О.П. Гущин, С.Б. Беневоленский и многие другие.

К успешному обобщению имеющегося в данной области опыта следует отнести классификацию требований к выходным параметрам процессов и оборудованию, которые необходимо учитывать при разработке новых технологий, а также большое количество работ, посвященных исследованию различных свойств газовых разрядов и их взаимодействию с обрабатываемой поверхностью. Однако имеющиеся в данной области экспериментальные данные и теоретические рекомендации не дают полной ясности в том, по какому пути следовать разработчику плазменной субмикронной технологии, чтобы решить конкретную задачу.

По существу, к началу данной работы отсутствовал комплексный научно-методический подход, позволяющий разработчику новых плазменных технологий для производства субмикронных микросхем решать стоящие перед ним задачи с минимальными временными и материальными затратами, хотя эта задача является крайне актуальной.

ЦЕЛЬ РАБОТЫ. Основной целью работы являлась разработка физико-технологических основ формирования трехмерных микроструктур УБИС плазменными методами.

Для достижения поставленной цели необходимо было решить следующие задачи:

- провести анализ проблем, возникающих при разработке процессов плазменного травления для УБИС;

- с учетом существующей проблематики разработать методологию исследования и разработки новых процессов формирования трехмерных структур УБИС плазменными методами;

- в соответствии с разработанной методологией: о сформулировать общие требования к современным плазменным источникам и выбрать наиболее эффективные принципы возбуждения разряда высокоплотной низкотемпературной плазмы; о разработать серию специализированных реакторов высокоплотной плазмы, предназначенных для процессов травления щелевых микроструктур в кремнии, планаризации микрорельефа, формирования затворов, травления переходных контактных окон с изотропно-анизотропным профилем и других базовых процессов для субмикронных СБИС; о исследовать и провести оптимизацию конструктивно - технологических параметров разработанных источников плазмы; о исследовать свойства многокомпонентных галогеносодержащих газовых смесей и разработать специализированные газовые составы для процессов плазменного формирования микроструктур; о исследовать особенности примесного загрязнения поверхности кремния после плазменной обработки в многокомпонентных газовых смесях и оценить их влияние на параметры формируемых структур; о провести анализ влияния плазменной обработки на изменение микроморфологии и адгезионной способности поверхности кремний-содержащих слоев; о провести сравнительный анализ воздействия плазменных обработок различного вида на электрофизические свойства МОП структур; о исследовать и разработать ряд базовых специализированных процессов плазменного формирования трехмерных микроструктур СБИС. о апробировать разработанные конструктивно-технологические решения в условиях реального производства.

НАУЧНАЯ НОВИЗНА работы заключается в следующих результатах:

1. На основании углубленного анализа основных проблем, возникающих при разработке процессов плазменного формирования трехмерных УБИС субмикронных размеров, предложена методология разработки процессов плазменного травления трехмерных микроструктур, основанная на комплексном подходе к решению основных физико-технологических проблем.

2. В результате анализа процессов плазмо-поверхностного взаимодействия в различных системах плазменного травления предложен новый принцип возбуждения разряда высокоплотной плазмы и подачи смещения на обрабатываемую подложку, позволяющий оптимизировать совокупность параметров плазменного травления (одновременно обеспечить высокие скорость, анизотропию и селективность травления при высокой однородности обработки и низких уровнях радиационного воздействия и химического загрязнения обрабатываемых поверхностей).

3. На основании результатов исследования особенностей тлеющих разрядов в многокомпонентных парогазовых смесях (ПГС), включающих фторсодержащий газ, хлорсодержащий газ и кислород, определены основные механизмы газофазных взаимодействий. В частности установлено, что в таких разрядах атомы фтора находятся в относительно малой концентрации, что связано с их поглощением при реакции замещения типа Р+СС1Х СРС1Х]+С1. Показано, что для таких разрядов поступление на поверхность активных атомов фтора является стадией, ограничивающей общую скорость реакции травления кремния. Обнаружен и объяснен эффект устойчивой пассивации поверхности кремния атомами галогенов и его влияние на адгезионную способность обрабатываемых поверхностей.

4. Из результатов анализа элементного состава газовой фазы разряда и поверхности кремния, обработанной в плазме, установлена пороговая зависимость между концентрацией углерода на поверхности кремния и типом химической связи углерода с атомами фтора и кремния. Обнаружено, что интенсивное образование полимерных пленок типа (С -Р)п начинается при поверхностной концентрации углерода выше 20 %ат- Если концентрация углерода (после ПХО) менее 10 %ат, углерод и фтор преимущественно образуют связи с кремнием.

5. На основании результатов исследования процессов плазменно-поверхностного взаимодействия в реакторах индукционно связанной плазмы установлено, что имеется температурный порог (80 °С) устойчивого перехода процесса травления окисла кремния с анизотропного на изотропный режим травления, что позволило разработать критерии для управляемого формирования плавного профиля переходных контактных окон.

ПРАКТИЧЕСКАЯ ЦЕННОСТЬ РАБОТЫ.

1. Разработаны, изготовлены и апробированы в составе серийного плазменного оборудования промышленные конструкции реакторов высокоплотной плаз

11 3 мы с плотностью разряда порядка 5x10 см" , обеспечивающие возможность проводить процессы травления функциональных слоев микросхем со скоростями порядка 1 мкм/мин.

2. Разработаны специализированные многокомпонентные газовые составы, включающие такие компоненты, как 8Рб, СС14, СНБз, С2р3СЬ3, Не, Ог, для процессов плазменного травления и обработки поверхности кремнийсодер-жащих слоев У БИС.

3. Разработаны и внедрены в реальное производство технологические процессы плазменного травления функциональных и вспомогательных слоев кремниевых микросхем, а также соответствующие узлы технологических маршрутов производства изделий с субмикронными топологическими нормами, а именно:

- разработана технология плазменного формирования субмикронных переходных окон (с размером контактной области окна 0,4 мкм) с управляемым профилем травления при скорости травления не менее 0,3 мкм/мин, щелевых и столбиковых структур в кремнии с аспектным отношением 7, включающая технологию создания многослойной твердой маски;

- разработана технология плазменной планаризации сложной поверхности микрорельефа;

- разработан процесс анизотропного высокоселективного плазменного травления слоев поликристаллического кремния на слоях окисла кремния с селективностью более 30, слоев нитрида кремния на окисле кремния с селективностью более 15, разработана технология скоростного (0,4 мкм/мин) и бездефектного плазменного удаления слоев фоторезиста;

4. Разработанные процессы внедрены в производство на предприятиях отрасли (НИИТТ и завод «Ангстрем»), в ГНЦ «НПК «Технологический центр» и использованы в НИР, проводимых в МИЭТ в соответствии с конкурсами грантов Министерства образования в области электроники, в ГНЦ «НПК «Технологический центр»» по научно-техническим программам Министерства науки и технологий, а также Федерального фонда развития электронной техники:

Фианит», №Г.Р. 78083041, 1979 г.; "Травление-М", №Г.Р. 80050860. М., МИЭТ, 1981г.; «Трель-1М», №Г.Р. 81038727, 1982 г.; «Исток», №Г.Р. 01830007500, 1983 г.; «Лозунг-ТМ-1», №Г.Р. 01930008260, 1993 г.; «Лозунг-Т2», № Г.Р. 01930008257; «Лига-14», 1997г.; «Лоск-8», № г.Р. 01950007529, 1997г.; «Лига-9», № Г.Р. 01950007528, 1995 г.; «Лозунг-Ф96, 1997 г.; «Плазма-ТСП», № Г.Р. 01980005141, 1999г.; «Линейка», №Г.Р. 01980005529, 2000г.; 273-Гб-53-Гр-ИЭМС, №Г.Р. 01200104317,2001г.; 184-ГБ-53-ПТ №Г.Р.01200005660;, 64-ГБ-53-Б-ОСНИ, 2001г.; 326-Г6-53-Э-ИЭМС, №Г.Р. 01200106735, 2001 г.

5. Результаты исследований использованы в учебном процессе при чтении автором в МИЭТ оригинального курса лекций «Плазменные технологии для СБИС», а также постановки лабораторных работ по соответствующему курсу.

Таким образом, в результате выполнения диссертационной работы были предложены физико-технологические основы формирования трехмерных микроструктур УБИС плазменными методами, на базе которых разработаны и внедрены в производство конструктивно-технологические решения, позволяющие оптимизировать процедуру плазменного формирования трехмерных микроструктур УБИС, таких например, как субмикронные щелевые и столбиковые структуры в кремнии с аспектным отношением «7», переходные субмикронные контактные окна в слоях межслойного диэлектрика с изотропно-анизотропным профилем, спейсерные области, области глубокого профилирования кремния для микромеханических систем с глубиной профилирования до 20мкм.

АПРОБАЦИЯ РАБОТЫ. Основные результаты работы докладывались и демонстрировались на следующих научно-технических конференциях и семинарах: III Всесоюзный симпозиум по плазмохимии. М.: 1979 г.; Отраслевая н-т конференция "Тонкие пленки производстве п/п приборов и ИС", Тбилиси, 1981.; Всесоюзный н-т семинар "Пути повышения стабильности и надежности микроэлементов и микросхем", Рязань, 1981.; Всесоюзная конференция "Интеграция и нетермическая стимуляция технологических процессов микроэлектроники, Москва, 1981; Координационное совещание "Исследование и разработка и применение ИС памяти", М., МИЭТ, 1984.; Методы и средства создания п/п приборов и ИМС, Латв.ССР, ПО "Альфа", Рига, 1987г.; н-т конференция молодых ученых и специалистов, институт электроники и вычислительной техники АН Латв.ССР, Рига. 1987г.; Всесоюзная конференция по м/э, Тбилиси, 1987 г.; III Всесоюзная конференция "Моделирование отказов и имитация на ЭВМ статистических испытаний ИМС и их элементов", Суздаль, 1989 г.; Всесоюзная н-т конференция "Экология микроэлектроники 90», 1990 г.; Российская конференция с участием зарубежных ученых "Микроэлектроника и на-ноэлектроника» 1992 г.; н-т конференция "Плазма~94", Звенигород, 1994 г.; Всероссийская н-т конференция "Электроника и информатика", Москва, 1995 г.; 2-й Международный Симпозиум по теоретической и прикладной плазмохимии, Иваново, 1995 г.; Всероссийская н-т конференция «Электроника и информатика», Москва, 1997 г.; Всесоюзная конференция "Современные проблемы информатики, вычислительной техники и автоматизации", Москва, 1998 г.; Всероссийская межвузовская н-т конференция «Микроэлектроника и информа-тика-98» Москва, 1998 г.; Шестая международная н-т конференция «Актуальные проблемы твердотельной электроники и микроэлектроники, Геленджик, 1999 г.; Всероссийская н-т конференция «Микро- и наноэлектроника -2001», Москва, 2001 г.; 13 н-т конференция «Датчик 2001», Судак, 2001 г.;

ПУБЛИКАЦИИ. По теме диссертационной работы опубликовано 80 научных работ, в том числе 13 патентов и авторских свидетельств на изобретение, 16 научно-технических отчетов по НИР (руководитель, ответственный исполнитель или исполнитель).

НА ЗАЩИТУ ВЫНОСЯТСЯ:

1. Методология разработки процессов плазменного травления трехмерных микроструктур, основанная на комплексном подходе к решению основных физико-технологических проблем.

2. Новый принцип возбуждения разряда высокоплотной плазмы и подачи смещения на обрабатываемую подложку, позволяющий обеспечить высокие скорости (порядка 1 мкм/мин) и прецизионность травления при одновременно низком уровне радиационного воздействия на обрабатываемую поверхность (при энергии ионов не более 50-70 эВ).

3. Установленные закономерности взаимодействия частиц плазмы в газовой фазе тлеющих разрядов в многокомпонентных газовых смесях, включающих фторсодержащий газ, хлорсодержащий газ и кислород, определяющие основные механизмы травления обрабатываемой поверхности.

4. Экспериментально определенные зависимости химического состава поверхности кремния, ее морфологии и адгезионной способности от состава газовой смеси, концентрации углерода на поверхности кремния и типом химической связи с атомами фтора и кремния.

5. Технологические процессы плазменного травления функциональных и вспомогательных слоев кремниевых микросхем, а также соответствующие узлы технологических маршрутов производства изделий с субмикронными топологическими нормами, а именно:

- технология плазменного формирования субмикронных переходных окон с размером контактной области окна 0,4 мкм) с управляемым профилем травления, при скорости травления не менее 0,3 мкм/мин;

- технология плазменного формирования щелевых и столбиковых структур в кремнии с аспектным отношением 7, а также создания микрорельефа

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Заключение диссертации по теме «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», Путря, Михаил Георгиевич

ОСНОВНЫЕ РЕЗУЛЬТАТЫ И ВЫВОДЫ

1. На основе проведенного анализа основных проблем, возникающих при разработке процессов плазменного формирования трехмерных структур УБИС субмикронных размеров и обобщения имеющегося в этой области практического опыта, предложена методология разработки новых процессов плазменного травления трехмерных микроструктур и сформулированы критерии, позволяющие оптимизировать выбор новых источников плазмы, составов газовых смесей и режимов травления.

2. Разработаны и реализованы оригинальные конструкции источников высокоплотной плазмы, совместимые с современным плазменным оборудованием, позволяющие получить плотность плазмы 10исм"3, имеющие самосогласованную систему ВЧ-смещения и обеспечивающие скорости травления функциональных слоев в диапазоне 0,3-0,9 мкм/мин при напряжениях смещения на образец не более 80В.

3. Разработаны процессы травления щелевых и столбиковых структур в кремнии с управляемым профилем травления, процессы плазменной планариза-ции сложных поверхностей микрорельефа, процессы формирования переходных контактных окон в межслойном диэлектрике с изотропно-анизотропным профилем травления, технология скоростного бездефектного удаления фоторезиста, плазменного травления основных функциональных слоев УБИС.

4. Разработаны специализированные многокомпонентные галогеносодержащие газовые смеси для травления функциональных слоев кремниевых СБИС и проведено комплексное исследование их свойств. Определены основные механизмы, определяющие взаимодействие плазмы разряда в многокомпонентных галогеносодержащих газовых смесях с обрабатываемой поверхностью.

5. Установлена связь между составом ПГС при плазменной обработке поверхности кремния и характером углеродных загрязнений его поверхности. Показана возможность управления адгезионной способностью поверхности кремния по отношению к алюминию за счет изменения ее морфологии во время ПХО и удаления углеродсодержащих поверхностных загрязнений.

6. Разработаны процессы плазмохимической обработки поверхности слоев поликристаллического кремния, окисла кремния, фосфоросиликатного стекла, нитрида кремния, р+ - слоев кремния, п+-слоев кремния, позволяющие улучшать их адгезионную способность по отношению к слоям металлизации и фоторезиста. Показано, что за счет введения предварительной обработки поверхности слоев поли-81, ФСС, 81зМ4 перед операцией нанесения фоторезиста стойкость фоторезистивной маски при введении ПХО увеличивается в 34 раза.

7. Исследовано влияние высокоплотной галогеносодержащей плазмы на радиационные нарушения поверхности кремния. Установлено, что при обработке пластин в ТСП реакторе уровень привносимых дефектов не больше, чем при традиционных методах химической обработки и приблизительно в 2 раза ниже, чем в РИТ системе. Показано, что применение высокоплотной плазмы для травления слоев и для плазменного снятия фоторезиста не приводит к ухудшению параметров транзисторных структур. При этом скорость травления функциональных слоев увеличивается в 3-4 раза, а скорость удаления фоторезиста примерно в 5 раз.

8. Разработаны технологические процессы плазменного травления 8Юг, поли-81, 81з1Ч4. Полученные скорости травления термического окисла, поликремния и нитрида кремния стехиометрического состава (0,3 мкм/мин, 0,55мкм/мин и 0,6 мкм/мин соответственно) находятся на уровне ведущих зарубежных фирм.

9. Разработана эффективная технология плазменного профилирования кремния при изготовлении элементов микромеханических систем, обеспечивающая получение вертикального рельефа до глубины 20мкм.

Таким образом, в результате выполнения диссертационной работы решена важная научно-техническая проблема - развиты физико-технологические основы разработки специализированных плазменных технологий для производства трехмерных элементов УБИС и микромеханических систем, позволившие создать конструктивно-технологический базис для повышения качества и производительности плазменных технологий и разработать конструктивно - технологические решения для формирования субмикронного рельефа на поверхности пластин.

Список литературы диссертационного исследования доктор технических наук Путря, Михаил Георгиевич, 2002 год

1. A.A. Орликовский "Плазменные процессы в микро- и наноэлектронике". 4.1. Реактивное ионное травление., Микроэлектроника, 1999 г., т.28, №5, с.344-362.

2. М. A. Lieberman and R. A. Gottscho, "Design of High Density Plasma Sources for Materials Processing," in Physics of Thin Films, M. Francombe and J. Vossen, Eds., Academic Press, Inc., New York, 1993.

3. L. Nesbit, J. Alsmeier, B. Chen, J. DeBrosse, P. Fahey, M. Gall, J. Gambino, S. Gernhardt, H. Ishiuch, R. Kleinhenz, J. Mandelman, T. Mii, M. Morikado, A. Nita-yama, S. Parke, H. Wong, and G. Bronner, IEDM Tech. Digest, p. 627 (1993).

4. J. Gambino, T. Ohiwa, D. Dobuzinsky, M. Armacost, S. Yoshikawa, and B. Cunningham, VMIC Proc., p. 558 (1995).

5. L. M. Lowenstein and С. M. Tipton, J. Electrochem. Soc. 128, 1389 (1991).

6. C. P. Ausschnitt, A. C. Thomas, and T. J. Wiltshire, IBM J. Res. Develop. 41, No. 1,21 (1997).

7. M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing, Wiley, New York, 1994.

8. N. Fujiwara, S. Ogino, Т. Maruyama, and M. Yoneda, Plasma Sources Sei. Tech-nol. 5, No. 2, 126(1996).

9. S. Samukawa and T. Mieno, Plasma Sources Sei. Technol. 5, No. 2, 132 (1996).

10. K. Kurihara and M. Sekine, Plasma Sources Sei. Technol. 5, No. 2, 121 (1996).

11. T. N. Ahn, К. Nakamura, and H. Sugai, Plasma Sources Sei. Technol. 5, No. 2, 139(1996).

12. T. Shibayama, H. Shindo, and Y. Horiike, Plasma Sources Sei. Technol. 5, No. 2, 254(1996).

13. M. Sekine, H. Hayashi, H. Tamura, and K. Kurihara, Paper PSl-WeAl, presented at the 43rd National Symposium of the American Vacuum Society, Philadelphia, 1996

14. N. Fujiwara, T. Maruyama, S. Ogino, and M. Yoneda, Jpn. J. Phys. 36, 2502 (1997).

15. H. Arimoto, T. Kamata, and K. Hashimoto, FUJITSU Sei. Tech. J. 32, No. 1, 136 (1996).

16. T. Kinoshita, M. Hane, and J. P. McVittie, proceedings of the 11th International Symposium on Plasma Processes, 1996, p. 49.

17. J. Arnold and H. Sawin, Appl. Phys. 70, No. 10, 5314 (1991).

18. J. Arnold and H. Sawin, Appl. Phys. 70, No. 10, 5314 (1991).

19. T. Holmes, Plasma Sources Sei. Technol. 5, 453 (1996).

20. T. Fukasawa, A. Nakamura, H. Shindo, and Y. Horiike, Jpn. J. Appl. Phys. Pt. 1 33, No. 4B, 2139 (1994).

21. T. Tanaka, N. Hasegawa, H. Shiraishi, and S. Okazaki, J. Electrochem. Soc. 137, 3900(1990).

22. T. A. Brunner, C. F. Lyons, and S. S. Miura, J. Vac. Sei. Technol. B 9, 3418 (1991).

23. S. Fang, C. Chiang, D. Fräser, B. Lee, P. Keswick, M. Chang, and K. Fung, J. Vac. Sei. Technol. A No. 3, 1092 (1996).

24. M. L. Passow, M. D. Armacost, M. J. Powers, and T. Cotler, Paper PS-M0A6, presented at the 43rd National Symposium of the American Vacuum Society, Philadelphia, 1996.

25. M. J. Buie, A. M. Joshi, and J. Regis, Proceedings of the Eleventh International Symposium on Plasma Processing 96, No. 12, 469 (1996).

26. M. Barklund and H. O. Blom, J. Vac. Sei. Technol. A 11, No. 4, 1226 (1993).

27. Proceedings of the High Density Plasma Symposium, 40th National Symposium of the American Vacuum Society, M. Barnes, Ed., San Francisco, 1993.

28. H. J. Tao, C. S. Tsai, and S. C. Sun, VMIC Proc., p. 669 (1997).

29. Y. Zhang, G. S. Oehrlein, and F. H. Bell, J. Vac. Sci. Technol. A 14, No. 4, 2127 (1996).

30. J. A. O'Neill and J. Singh, J. Appl. Phys. 77, No. 2, 497 (1995).

31. K. G. Donohoe, Paper PSl-WeA3, presented at the 43rd National Symposium of the American Vacuum Society, Philadelphia, 1996

32. S. Arai, K. Tsujimoto, and S. Tachi, Jpn. J. Appl. Phys. 31, 2011 (1992).

33. T. Ichiki, Y. Chinzei, Y. Horiike, H. Shindo, N. Ikegami, and M. Sekine, Paper PS-MoA5, presented at the 43rd National Symposium of the American Vacuum Society, Philadelphia, 1996.

34. R. S. Wise, M. D. Armacost, M. P. Passow, S. Molis, and L. Tai, Paper PS2-WeM8, presented at the 44th National Symposium of the American Vacuum Society, San Jose, 1997.

35. S. Kadomura, "Dry Etching Method for Selectively Etching Silicon Nitride Existing on Silicon Dioxide," U.S. Patent 4,654,114, 1987.

36. R. Chun and J. Keswick, P., Eur. Semicond. 16, No. 4, 17 (1994).

37. Proceedings of the Second International Symposium on Microstructure and Microfabricated Systems, D. Denton, P. J. Hesketh, and H. Hughes, Eds., ECS Proc. 9527, 266-271 (1995).

38. K. P. Muller, B. Flietner, C. L. Hwang, R. L. Kleinhenz, T. Nakao, R. Ranade, Y. Tsunashima, and T. Mii, IEDM Tech. Digest, p. 507 (1996).

39. K. P. Muller and K. Roithner, Proc. Electrochem. Soc. 95-27, 266 (1995).

40. K. P. Muller, K. Roithner, and H.-J. Timme, J. Microelectron. Eng. 27, 457 (1995).

41. P. A. Heimann, J. Electrochem. Soc. 132, 2003 (1985).

42. T. H. Fedynyshyn, G. W. Grynkewich, and T. Ma, J. Electrochem. Soc. 134, 2580 (1987).

43. K. M. Eisele, J. Electrochem. Soc. 128, 123 (1981).

44. T. Syau, B. J. Balign, and R. Hamaker, J. Electrochem. Soc. 138, 3076 (1991).

45. Y. Horiike, in Applications of Plasma Processes to VLSI Technology, T. Sugano, Ed., Wiley Interscience, New York, 1985, p. 138

46. N. Hayasaka, H. Okano, and Y. Horiike, Solid State Technol. 4, 127 (1988).

47. J. W. Shon, E. Meeks, R. S. Larson, C. A. Fox, S. R. Vosen, and D. Buchenauer, in "Results from Modeling and Simulation of Chemical Downstream Etch Systems," Sandia Report SAND96-8241, May 1996

48. J. Mogab, A. C. Adams, and D. L. Flamm, J. Appl. Phys. 49, 3976 (1978).

49. N. Nishino, N. Hayasaka, K. Horioka, J. Shiozawa, S. Nadahara, N. Shooda, Y. Akama, A. Sakai, and H. Okano, J. Appl. Phys. 74, 1349 (1993).

50. H. Okano, N. Hayasaka, N. Nishino, K. Horioka, and T. Arikado, Extended Abstracts, 20th Conference on Solid State Devices and Materials, Tokyo, 1988, p. 549.

51. S. Suto, N. Hayasaka, H. Okano, and Y. Horiike, J. Electrochem. Soc. 136, 2032 (1989).

52. J. Mogab, J. Electrochem. Soc.: Solid State Sci. Technol. 124, 1262 (1977).

53. D. G. Chesebro, J. W. Adkisson, L. R. Clark, S. N. Eslinger, M. A. Faucher, S. J. Holmes, R. P. Mallette, E. J. Nowak, E. W. Sengle, S. H. Voldman, and T. W. Weeks, IBM J. Res. Develop. 39, No. 1, 189 (1995).

54. J. Dulak, B. J. Howard, and C. H. Steinbruchel, J. Vac. Sci. Technol. A 9, No. 3, 775 (1991).

55. G. C. Schwartz, L. B. Rothman, and T. J. Schopen, J. Electrochem. Soc. 162, 464 (1979).

56. Y. H. Lee and M. M. Chen, J. Appl. Phys. 54, No. 10, 5966 (1983).

57. K. Tsujimoto, T. Kumihashi, and S. Tachi, Appl. Phys. Lett. 63, 1915 (1993).

58. H. Y. Hg, J. W. Adkisson, A. Miller, G. Matteson, and T. Wu, presented at the Annual SEMICON Conference on Test, Assembly, and Packaging, 1996.

59. P. D. Hoh, T. Wu, V. Grewal, B. Spuler, and J. Bowers, "Fine Feature 0.25 |im W6/Polysilicon Gate Etching with an ICP Plasma Source," presented at the Semicon West LAM Research Technical Symposium, San Francisco, 1997.

60. P. D. Hoh, "Etching with HC1 and C12," European Patent 9707458.8-1270, 1997

61. S. Mayumi, J. Electrochem. Soc. 137, 2534 (1990).

62. Hess and R. Bruce, Dry Etching for Microelectronics, R. Powell, Ed., Elsevier, New York, 1984.

63. V. Brusic, G. S. Frankel, C.-K. Hu, M. M. Plechaty, and B. M. Rush, Corrosion 47, No. 1,35 (1991).

64. M. Naeem, V. Grewal, B. Spuler, J. Hanebeck, M. Narita, and C. Radens, Proceedings of the 11th International Symposium on Plasma Processing 96-12, 267 (1996).

65. V. Brusic and C. H. Yang, proceedings of Plasma Processing XI, Los Angeles, 1996 (ISBN 1 56677 164 1).

66. M. Schiatti, "Metal Etch Process Characterization in a TCPTM 9600 for VLSI Devices," presented at the Meeting on Thin Film Challenges: Device Requirements for the '90s, San Francisco, July 1994.

67. H. Yang, V. Grewal, J. Lany, and J. Yang, "Metal Etch Process Characterization in a TCP 9600 for VLSI Devices," presented at the Meeting on Thin Film Challenges: Device Requirements for the '90s, San Francisco, July 1994.

68. T. Suzuki, H. Kitagawa, K. Yamada, and M. Nagoshi, J. Vac. Sci. Technol. B 10, No. 2, 596 (1992).

69. M. Sato and Y. Arita, Extended Abstracts, International Conference on Solid State Devices and Materials, Yokohama, 1991, p. 759.

70. M. Armacost, P.D. Muller, P.D. Hoh and ather "Plasma-etching processes for ULSI semiconductor circuits",IBM J.Res.Develop., Vol.43 NQ.1/2 January/March 1999, p.39-72.

71. А.А. Ванин, В.Ю. Киреев, М.А. Кремеров «Оборудование для сухого размерного травления функциональных слоев мегабитовых ДОЗУ», Электронная техника. Сер.З Микроэлектроника, вып.2(136), 1990, с. 17-22.

72. A.JI. Глебов, М.Б. Гущин, В.Ю. Киреев, В.А. Комаров «Моделирование профиля элементов микросхемы, формируемых в процессах сухого размерного травления», Электронная техника. Сер.З Микроэлектроника, вып.2(136), 1990, с.43-50.

73. В.Ю. Киреев «Процессы сухого размерного травления материалов в производстве мегабитовых ДОЗУ» Электронная техника. Сер.З Микроэлектроника, вып.3(132), 1989, с.37-44.

74. P. Singer «Meeting Oxide, Poly and Metal Etch Requirements». Semiconductor international, April 1993, p.50 54

75. Голишников A.A., Путря М.Г. "Исследование процессов травления микроструктур УБИС в высокоплотной плазме", Межотраслевой н-т сборник "Оборонный комплекс-научно-техническому прогрессу России", №2, 1999 г., с.6-10.

76. М. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing, John Wiley & Sons, Inc., New York, 1994.

77. S. J. Fonash. Plasma processing damage in etching and deposition , IBM Journal of research and development, Vol. 43, No. 1/2 Plasma processing , p. 103.

78. S. Tabara "Effects of etching gases and bias frequency on notching and charging". JJAP, v/38(1999), pp. 3753-3760.

79. Голишников A.A., Путря М.Г., Рыбачек E.H., С.Ю. Храброва "Формирование субмикронных элементов УБИС на поликристаллическом кремнии, содержащем участки различной проводимости., Электронная промышленность, 1995, N 7,с.11-13

80. Вишняков Б.А., Жигальский Г.П., Путря М.Г., Савельев А.А. "Влияние обработки пластин кремния в ВЧ разряде на качество МДП структур", Электронная техника, сер. 8, 1984, вып.6(111), с. 21-23.

81. В.Д. Вернер, Н.М. Зарянкин, Путря М.Г. и др. "Исследование и разработка перспективных технологических процессов для разработки вертикально интегрированных элементов УБИС ЗУ емкостью 16-64 М", Электронная промышленность,! 995 ,N 4-5,с.73-76.

82. А.И Галушков., М.Г. Путря, А.Н Сауров., А.Н Ячиков, Н.А. Шелепин "Разработка и исследование способов формирования столбиковой структуры для изготовления вертикальной ячейки ДОЗУ 16-64 М№ с. Москва,МИЭТ,сборник научных трудов, 1994 г.с.74-82.

83. Т. Gu, О. О. Awadelkarim, S. J. Fonash, and Y. D. Chan, "Degradation of Submicron N-Channel MOSFET Hot Carrier Reliability Due to Edge Damage from Polysilicon Gate Plasma Etching," Electron Device Lett. 15, 396 (1994).

84. N. H. Nickel, A. Yin, and S. J. Fonash, "Influence of Hydrogen and Oxygen Plasma Treatments on Grain-Boundary Defects in Poly-Si," Appl. Phys. Lett. 65, 3099(1994).

85. A. Salah and O. 0. Awadelkarim, "Observation of a New Type of Plasma Etching Damage: Damage to N-Channel Transistors Arising from Inductive Metal Loops," Appl. Phys. Lett. 68, 1690 (1996).

86. S. J. Fonash, C. R. Viswanathan, and Y. David Chan, "A Survey of Damage Effects in Plasma Etching," Solid State Technol. 37, 99 (1994).

87. О. О. Awadelkarim, S. J. Fonash, P. I. Mikulan, and Y. D. Chan, "Plasma Charging Damage to Gate Si02 and Gate Si02/Si Interfaces in Submicron NMOS: Latent Defects and Passivation/Depassivation of Defects by Hydrogen," J. Appl. Phys. 79, 517(1996).

88. M. Okandan, S. J. Fonash, M. Ozaita, F. Preuninger, Y. D. Chan, and J. Werking, "Cyclic Current-Voltage Characterization Applied to Edge Damage Evaluation in Gate Definition Plasma Etching," Electron Device Lett. 18, 495 (1997).

89. E. F. Runnion, S. M. Gladstone, R. S. Scott, D. J. Dumin, L. Lie, and J. C. Mitros, "Thickness Dependence of Stress Induced Leakage Currents in Silicon Dioxide," IEEE Trans. Electron Devices 44, 993 (1997).

90. M. Depas, T. Nigam, and M. Heyns, "Soft Breakdown of Ultra-Thin Oxide Layers," IEEE Trans. Electron Devices 43, 1499 (1996).

91. E. F. Runnion, S. M. Gladstone, R. S. Scott, D. J. Dumin, L. Lie, and J. C. Mitros, "Thickness Dependence of Stress Induced Leakage Currents in Silicon Dioxide," IEEE Trans. Electron Devices 44, 993 (1997).

92. Т. E. F. M. Standaert, M. Schaepkens, P. G. M. Sebel, N. R. Rueger, and G. S. Oehrlein, J. Vac. Sci. Technol. A 16, 239 (1998).

93. G. S. Oehrlein, D. Zhang, D. Vender, and O. Joubert, J. Vac. Sci. Technol. A 12, 333 (1994). G. S. Oehrlein, D. Zhang, D. Vender, and O. Joubert, J. Vac. Sci. Technol. A 12, 333 (1994).

94. J.T.C. LEE, "A comparison of HDP sources for polisilicon etching", Solid State Technology,August, 1996, p/.63-69

95. Диссертация иа соискание ученой степени д.т.н. Киреева В.Ю. "Физико-химические и технологические основы проектирования разработки процессов и оборудования сухого размерного травления функциональных слоев микросхем", М.,1991 г., с. 154

96. Отчет о научно-исследовательской работе «Исследование и разработка перспективных плазменных процессов в технологии изготовления современных СБИС», Шифр «Лига-14», УДК 621.3.046.77: 535.9, № Г.Р. 01960007119, 1997г.

97. Отчет о научно-исследовательской работе «Разработка процесса изотропного плазменного травления слоев окисла кремния и легированного кремния». Шифр «Лоск-8», УДК 621.793.74: 66.01, № Г.Р. 01950007529, 1997г.

98. Отчет о научно-исследовательской работе «Разработка и исследование плазмохимических процессов формирования субмикронных элементов УБИС в скрещенных ВЧ электрическом и магнитном полях», Шифр «Лига-9», № Г.Р. 01950007528, 1995 г.

99. Отчет о научно-исследовательской работе «Разработка высокоэффективного реактора с индукционным возбуждением разряда», Шифр «Лозунг-Ф96», УДК 621.793.74: 66.01, 1997 г.

100. Отчет о научно-исследовательской работе «Разработка процесса изотропного плазменного травления слоев окисла кремния и легированного кремния». Шифр «Лоск-8», УДК 621.793.74: 66.01, № Г.Р. 01950007529, 1997г.

101. Голишников A.A., Путря М.Г., Рыбачек E.H. «ПХ травление межслойного диэлектрика с управляемым профилем контактного окна». Всероссийская научно-техническая конференция «Электроника и информатика 97» Москва, ноябрь 1997г., сб. тез.,ч.1 с. 184.

102. Голишников A.A., Ермакова Л.П., Путря М.Г. "Влияние плазменной обработки в TCP реакторе на параметры СБИС". Тезисы Всероссийской научно-технической конференции "Микро- и наноэлектроника 98",Звенигород, PI-23.

103. Голишников A.A., Зарянкин Н.М., Путря М.Г. "Высокоскоростное плазменное травление функциональных слов УБИС в TCP реакторе". Тезисы Всероссийской научно технической конференции "Микро - и наноэлектроника - 98", Звенигород,1. О-10.

104. Отчет о научно-исследовательской работе «Исследование физико-технологических параметров реактора TCP и разработка технологии травления функциональных слоев СБИС». Шифр «Плазма-ТСП». УДК 621.3.049.774. № Г.Р. 01980005141, 1999г.

105. Голишников A.A. "Исследование физико-технологических параметров реактора трансформаторно-связанной плазмы", "Микроэлектроника и информатика- 99", МИЭТ, с. 15.

106. Голишников A.A., Зарянкин H.M., Путря М.Г., Сауров А.Н. Патент на изобретение №2133998 «Реактор для плазменной обработкиполупроводниковых структур». Зарегистрирован в Государственном реестре изобретений Российской Федерации, г. Москва, 27 июля 1999г.

107. С. Cardinaud, М. Peignon, P.Tesseier "Plasma etching: principles, mechanisms, application to micro- and nano-technologies". Applied surface science. 164 (2000), 72-83.

108. Б.С. Данилин, В.Ю. Киреев «Применение низкотемпературной плазмы для травления и очистки материалов». М. Энергоатомиздат. 1987г., 264с

109. Coburn J. W. S.S. Techn. April, 1979, p. 117.

110. FlammD. L. S.S. Techn. April, 1979, p. 109.

111. Harshvarger W.R., Porter R. A. S. st. Techn. April, 1978, p. 99.

112. Donelly V., Flamm D. S. st. Techn. April, 1981, p. 161.

113. H. Motomura, S. Imai, K. Tachibana Surface reaction in C4F8 and C5F8 plasmas for selective etching of Si02 over fotoresist. Thin Solid Films 390(2001)134-138.

114. K.Usui, K.Sasaki, С Suzuki, K.Kadota. Loss process of F atoms in low pressure, high-density plasmas with admixture of H2. Jpn.Appl.Phys.,V.38(1999)4373-4376.

115. Y.Hikosaka, H.Hayashi, M.Sekine, H.Tsuboi, M.Endo, N.Mizumani. Realistic Etch Yield of Fluorocarbon Ions in Si02 Etch Process. Jpn. J. Appl. Phus. Vol. 38 (1999) pp. 4465-4472.

116. T.Kimura, K.Ohe. Experimental Investigations on Ne/CF4 Inductively Coupled Discharges. Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 282-286.

117. A.Tserepi, E.Gogolides, C.Cardinaud, L.Rolland, G.Turban. Highly Anisotropic Silicon and Polysilicon Room-Temperature Etching using Fluorinebased High Density Plasmas. Microelectronic Engineering 41/42 (1998) 411-414.

118. Б.А., Вишняков, М.Г. Путря, Ш.А, Хоббихожин, А.Б.Невский. Обработка пластин кремния в высокочастотной плазме. Физика и химия обработки материалов, 1982, № 55, с. 43-46.

119. Б.А. Вишняков, А.А. Савельев, , М.Г. Путря, Ш.А. Хоббихожин. Плазмохимическая очистка и травление материалов в много компонентных смесях. Электронная промышленность, 1983, вып. 7(124), с.33.

120. Б.А.Вишняков, В.М.Долгополов, М.Г. Путря, А.А.Савельев, Д.И.Словецкий. Исследование ВЧ-разряда в многокомпонентных галогенсодержащих газовых смесях. Химия высоких энергий, 1984, т.18, №3, с.260-264.

121. Pearse R., Gaydon A. The Identification of molecular spectra, London, 1950.

122. Стриганов A. P., Свентицкий H. С. Таблицы спектральных линий нейтральных и ионизированных атомов. М.: Атомиздат, 1966, 899 с.

123. Coburn . W, Chen М. J. Appl. Phys., 1980, v. 51, № 6, p. 3134.

124. Vinogradov G. V. et al. In: Contributed papers proceeding of the XV International Conference of phenomena in ionised gases, Minsk, USSR, Julyu 14-18, 1981, p. 325.

125. Долгополов В. M. и др. Химия высоких энергий, 1982, т. 16, № 4, с. 350.

126. Mogab С. J, Shankoff Т. A. J. Electrochem. Soc., 1977, v. 24, №11', p. 1766.

127. C.Suzuki, K.Sasaki,К. Kadota. Formation of C2 radicals in high-density C4F8 plasmas studied by laser-induced fluorescence. Jpn.J.Appl.Phys.Vol.38(1999).p.6896-6901.

128. T.Arai, M.Goto, K.Horikoshi, M. And S. Aikyo. Effects of fluorocarbon films on CF radical in CF4 plasma. Jpn.J.Appl.Phys.Vol.38(1999).p. 4337-4379.

129. М.Г. Путря, Б. А. Вишняков, Ш.А. Хоббихожин, А.Б. Невский. Плазмохимическая обработка поверхности кремния.Сб. Тезисов 3 Всесоюзного симпозиума по плазмохими. М. 1979 г., с.331.

130. НТО «Исследование плазмохимических процессов очистки различных поверхностей многослойных п/п структур и травление материалов,используемых в технологии МДП БИС». М.,МИЭТ, шифр:»Фианит», Г.Р.№ 78083041.1979 г.

131. НТО «Исследование процессов глубокой плазмохимической очистки и разработка методов контроля чистоты поверхности диэлектрических и п/п слоев, используемых в технологии МДП БИС». М., МИЭТ, шифр: «Трель 1-М»Г.Р.№8103 8727. 1982г.

132. М.Г. Путря, Б.А. Вишняков, Ш.А. Хоббихожин, А.Б. Невский. Реакционная смесь для плазмохимической очистки поверхности кремниевых пластин. АС СССР № 793206, МКИ H01L21/306. 01.09.1980г,

133. М.Г. Путря, Б.А. Вишняков, Ш.А. Хоббихожин, А.Б. Невский, A.A. Романов. Газовая смесь для ПХТ кремния. АС СССР №849796. НО 1L21/306. 1983 г.

134. М.Г. Путря, Б.А. Вишняков, A.A. Савельев. Способ создания структур Si-Si02. АС СССР №1187643. H01L21/306. 1985 г.

135. Б.А. Вишняков, В.К. Неволин, М.Г. Путря. Влияние обработки поверхности кремния в тлеющем разряде на ее адгезионные свойства. Электронная техника. Сер.З. Микроэлектроника. 1983 г., вып.4(106), с.48-51.

136. М.Г. Путря «Исследование и разработка методов плазмохимической обработки поверхности слоев кремниевых БИС». Диссертация на соискание ученой степени кандидата технических наук. М., МИЭТ 1985 г.

137. В.К. Неволин, Ф.Р. Фазылов, Т.Д. Шермергор. Энергия адгезии металлов и полупроводников. Поверхность. Физика, химия, механика. 1983 г., №3. С.79.

138. Н. Katsuhiro. Additives to improve positive resist durability for plasma etching. J. Electrochemical Soc. 1980, 127, №2,p.491-497.

139. М.Г. Путря, A.A. Савельев, Б.А. Вишняков, С.И. Земцовский, A.A. Варганов. A.C. СССР № 1061656, НО 1L21/306. 1983 г.

140. АС СССР №580485, 1977, МКИ G01F 19/04.

141. Отчет по НИР «Разработка процессов плазмохимичекой очистки и травления слоев Si02, Si3N4, поли-Si", шифр "Травление-М", Г.Р. № 80050860. М.,МИЭТ, 1981г.

142. Ю.Д. Чистяков, Ю.П. Райнова. Физико-химические основы технологии микроэлектроники. М., Металлургия. 1979г.,408с.

143. М.Г. Путря, Ш.А. Хоббихожин, Б.А. Вишняков, A.A. Савельев. АС СССР №1050462, H01L21/306.1 г.

144. Л.Ф. Ронская, Е.А. Бродянский, В.Д. Скубриев. Очистка поверхности полупроводниковых пластин в производстве интегральных схем. Обзоры по электронной технике. Сер.З. ЦНИИ Электроника., М.,1971 г., выпЗ. 65 с.

145. И.Г. Ерусалимчик, A.C. Никонов, И.П. Старшинов. Предварительная очистка пластин кремния. Электронная техника. Сер.2.1978 г., вып.1., С. 81-85.

146. З.И. Ахажа, П.Е. Кандыба, В.М. Красовский. Остаточные загрязнения кремниевых пластин после химических обработок. Электронная техника. Сер.З. 1976 г., вып.З., с.73-77.

147. Носиков С. В., Пресс Ф. П. Электрографический метод исследования слоев S'iOa. — Электронная промышленность, 1971, № 1, с. 69.

148. Г.П.Жигальский, А.С.Федоров. Влияние предокислительной обработки пластин кремния на НЧ-шум МДП-структур. Известия Вузов, 8. Радиофизика, т.32, №9, 1987, с. 1158-1165.

149. Жигальский Г. П., Беспалов В. П. Исследование шума вида 1/f в МДП структурах. — Электронная техника. Сер. Микроэлектроника, 1979, вып. 7 (77), с. 26—34.

150. В.Д. Вернер, Д.Д Гордеев., В.Н Дягилев., Ю.А Парменов., А.Н. Сауров, А.Н. Сергеев, В.К.Старицын A.C. N 1326132 (СССР). Способ изготовления интегральных схем "Степланар"1985 г.

151. В.Н Дягилев., Ю.А Парменов., А.Н. Сауров Технология СТЕПЛАНАР создания быстродействующих цифровых БИС. Тезисы, VI Координационное совещание "Развитие методов проектирования и изготовления интегральных ЗУ", М, МИЭТ, 1988г.,с. 113.

152. Н.Н. Герасименко, И.В. Вернер, A.M. Мясников. Локальное анизотропное плавление на дефектах, введенных лазерной обработкой и ионной имплантацией. Электроника и информатика-97. Сб. тезисов.МИЭТ 1997г.,ч.2., с. 182.

153. В.В. Платонов, Н.Н. Герасименко, И.В. Вернер, В.В. Дягилев «Особенности анализа поверхностных дефектов на кремнии методами локального анизотропного плавления». Известия вузов. Электроника. 1999 г., №6. с.95-102.

154. R.Delsol, Msetton, F.Vinet, P.Valvin, R.Blanc,P.Berruer, M.Assous. Transformer coupled plasma dielectric etch for 0,25 |um technologies. Microelectronic Engineering. 50(2000), 75-80.

155. Gottlieb S. Oehrlein. Surface processes in low pressere plasmas. Surface science 386(1997)222-230.

156. М.Г. Путря. Отчет о НИР «Создание перспективных сверхскоростных кремниевых биполярных и полевых нанотранзисторных структур на основе методов самосовмещения и самоформирования», УДК 621.3.049.77.002, № Г.Р. 01990011105,2000г.

157. А.Н. Сауров. Методы самоформирования в микроэлектронике. Диссертация на соискание ученой степени доктора технических наук. Москва, МИЭТ, 1999 г.

158. А.И. Галушков, Н.М. Луканов, М.Г., Путря, E.H. Рыбачек «Влияние маскирующих слоев на геометрические параметры трехмерных элементов УБИС при реактивно-ионном травлении». Приборостроение и радиоэлектроника. №1, 2001 г., с.7-11.

159. НТО «Разработка критических технологий микроэлектроники, включая анализ критических процессов и разработку маршрутных технологий БИС нового поколения». Шифр: «Линейка». М.2000г. Г.Р.№01980005529.

160. И.В., Годовицин, В.В Дягилев., Л.П. Манжа, М.Г. Путря, А.Н. Сауров. Экспериментальное исследование возможностей формирования субмикронной маски для разработки вертикально интегрированных элементов УБИС ЗУ емкостью 16-64 М".

161. Москва,МИЭТ,сборник научных трудов, 1994 г.с.82.

162. М.Г. Путря «Новая технология плазменного профилирования кремния для производства объектов микромеханики». Сб. Тезисов 13 НТК «Датчик 2001», Май 2001 г., с. 119-120.

163. М.Г. Путря Особенности плазменного профилирования кремния для микромеханики. Известия Вузов. Электроника., №3, 2001 г., с.93-94.

164. М.Г. Путря, Э.В. Сичинский. Новая технология плазменного профилирования кремния для производства объектов микромеханики. В сб. тезисов Всеросийской н-т конференции «Микро- и нано-электроника-2001», 1-5 октября 2001 г.Звенигород, P3-38.

165. P.Attia, M.Boutry, A.Bosseboeuf, P.Hesto. "Fabrication and characterization of electrostatically driven silicon microbeams", Microelectronics Journal, 29(1998), 641-644.

166. B.B. Платонов, H.H. Герасименко, И.В. Вернер, B.B. Дягилев «Особенности анализа поверхностных дефектов на кремнии методами локального анизотропного плавления». Известия вузов. Электроника. 1999 г., №6. с.95-102.

167. Климов Д.М., Васильев А.А., Лучинин В.В., Мальцев П.П. Перспективы развития микросистемной техники в XXI веке // Микросистемная техника. -1999.-№1.-С. 3-7.

168. Королев М.А., Шумский И.А. Интеллектуальные силовые ИС на основе технологии кремний на - изоляторе // Изв. вузов. Электроника. - № 2. - 1998. -С. 45-56.

169. Королев М.А., Шумский И. А. Интеллектуальные измерительные микросистемы на основе структуры кремний-на-изоляторе // Изв. вузов. Электроника. 1998. - №5. - С. 34-38.

170. Способ изготовления рекристаллизованных слоев кремния / В.М.Глазов, М.А.Королев, С.Г.Тадевосян, М.В.Хохлов, И.А.Шумский // А.С. 1464799 СССР. Опубл. 1987.269

171. Королев М.А., Шумский И.А. Исследование генерации пустот в пленках рекристаплизованного кремния на диэлектрике / Изв. вузов. Электроника. -1997. -№5. -с. 18-23

172. М.А. Королев, М.Г. Путря, В.И. Шевяков. Особенности формирования пленочных структур в интегральных КНИ микросистемах. Известия Вузов. Электроника. № 4-5, 2000 г,.с. 86-90.

173. УБИС плазменными методами» Автор Путря Михаил Георгиевич

174. На основании разработанных в диссертационной работе методов и рекомендаций в НПК «Технологический Центр» изготовлены и используются в технологическом процессе специализированные реакторы высокоплотной плазмы.

175. Разработанные автором технологии плазменного травления функциональных слоев используются при изготовлении серийных КМОП микросхем серии 5503, в том числе и с военной приемкой.

176. Использование результатов диссертационной работы Путри М.Г. в технологических процессах позволило повысить выход годных микросхем в серийном производстве и создать ряд новых перспективных структур сверхбыстродействующих БИС и микросенсоров.

177. Заместитель директора по HP с -<- H.A. Шелепин

178. В учебном процессе каф. ИЭМС используются следующие результаты диссертации Путри М.Г.:

179. Результаты диссертационной работы легли в основу при чтении автором з МИЭТе оригинального курса лекций «Плазменные технологии для УБИС» и используются при чтении курсов «Технология интегральных микросхем» и ¡(Технология СБИС».

180. Результаты диссертации использовались студентами при подготовке ципломных проектов и магистерских диссертаций по тематике «Плазменные процессы в технологии интегральных схем».

181. Декан факультета Электроники и компьютерны:гехнологий, профессор

182. Зав. каф. ИЭМС, профессор.и1. Утверждаюи

183. Дата внедрения: апрель 1985г.

184. Годовой экономический эффекж от внедрения плазмохимичес^--кого травления поликремния, расчитанный по формуле;

185. Э = ( Cj + %Е)х А (С2 + К2Е)ХАсоставил: 51297.25 руб.

186. Экономия от снижения себестоимости 48081,5 руб.

187. Срок окупаемости 0.44 года.

188. Настоящий акт не является основанием для выплаты премий в отдельном порядке.1. Председатель кошвсии1. АКТо внедрении результатов диссертационной работы тов. Путри М.Г. (научный руководитель Вишняков Б.А.)

189. Мы,нижеподписавшиеся, представители предприятияя/ж р-6429 низ-ялъяиу тщытй: 43 Няяя^пвнячшгьшж; лаборатории.название предприятия (организации)

190. Изобретение; а,849796 заявка №284627?от 29.Q7.80r.

191. НаярюУтз" ттяобр^тоштч г-Газовзя смесь для плазмохимического' -.травления поликрйстадли^ . : '

192. Использовано с " Г ^ февраля,-; , 1£88г. V '1. В цехе Стчастке) СЯ

193. При изготовлен изделий «та^Ш»-,"ТАКТ-4КС".,"ТАКТ-2,0".в соответствии -с формулой' изобретения. • -,/ . ■ ч'

194. Техническое решение но изобретению отражено в технической до.аумен-ташш ЩЙО.734.467 ТК 13. " ; '

195. Название изобретения "Газовая смесь Для плазмохимическоро травлениянитрида кремния".: .

196. Использовано с и I " октября19 ¿Юг^*;<*1. В цехе (участке! 01:;"

197. При изготовлении изделий "ШМЫЯ, "ТАКТ- 4КС».в соответствии с формулой изобретения» * с

198. Техническое решедае m изобретению отраяево в технической докумен-ШИО.734.467 ТК II.

199. Использование жзоб^тения обеспечивает достижение положительного эффекта, выражаищегоея в повышении выхода годных на операции • ' "100% контроль кристаллов",у п ро щении ко нтр о ля процесса ПХ-трав-* ; ' ления увеличении "производительности тр.-уда.

200. С началом использования изобретения ознакомлены1. Автор(соазт4.1* <ш>. I98&» Pm M.FV . • ЮББИХОЗШШмин;1. ЛОМОДШО %ссиж^КворонОВ А.Н. 1. ШШЬ >ЮШЮВ Л .M".

201. Работа по указанной теме выполнялась в НИМТТ совместно с лабораторией 431 в период с феврали 1979 г. по январь 1980 г. Исполнителями от ШЭТ. являлись инженер кафедры ГИС Путря М.Г. и доцент к.т.1 Вишняков Б.А.

202. Начальник отдела 4Б /Начальник лаб. 43Г1. И.НАЗАРОВ/1. А.НЕВСКИЙ/

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.