Исследование физических основ построения рельефа в фоторезистивной маске с разработкой компактной литографической модели тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Харченко Екатерина Леонидовна

  • Харченко Екатерина Леонидовна
  • кандидат науккандидат наук
  • 2023, ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 114
Харченко Екатерина Леонидовна. Исследование физических основ построения рельефа в фоторезистивной маске с разработкой компактной литографической модели: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)». 2023. 114 с.

Оглавление диссертации кандидат наук Харченко Екатерина Леонидовна

Введение

Актуальность работы

Степень разработанности

Цели и задачи

Научная новизна

Теоретическая и практическая значимость

Методология и методы исследования

Положения, выносимые на защиту

Достоверность

Личный вклад

Апробация работы

Публикации

Структура и объем работы

Список сокращений

Глава 1. Разрешающая способность фотолитографии

1.1Внеосевое освещение

1.2 Иммерсия

1.3 Коррекция эффектов оптической близости

1.4 Фазосдвигающие фотошаблоны

1.5 Многократное экспонирование

1.6 Материалы фоторезистивной маски

Выводы к главе

Глава 2. Моделирование литографического процесса

2.1 Расчёт оптического изображения

2.1.1 Решение уравнений Максвелла численными методами

2.1.2 Метод Аббе

2.1.3 Метод Хопкинса

2.1.4 Гибридный метод Хопкинса-Аббе

2.2 Представление фотошаблона

2.2.1 Модель Кирхгофа

2.2.2 Трёхмерная модель маски

2.3 Моделирование изображения в фоторезисте

2.3.1 Физическое моделирование фоторезистивной маски

2.3.2 Компактное моделирование фоторезистивной маски

2.3.2.1 Модель постоянного порога

2.3.2.2 Модель переменного порога

2.3.2.3 Модель вида СМ1

2.3.2.3.1 Пороговое уравнение

2.3.2.3.2 Оптимизация параметров порогового уравнения

2.3.2.3.3 Критерий Акаике для выбора формы порогового уравнения

2.4 Компактное моделирование травления затворного слоя

Выводы по главе

Глава 3. Подготовка входных данных для калибровки литографической модели

3.1 Подбор толщин слоёв фоторезистивной маски

3.2 Разработка универсального тестового фотошаблона

3.3 Разработка инструмента по выбору структур для измерений

3.4 Обработка результатов измерений

Выводы к главе

Глава 4. Калибровка и верификация литографической модели для затворного слоя технологии 28 нм

4.1 Исходные данные

4.2 Результат калибровки оптической модели с учётом трёхмерной модели фотошаблона

4.3 Результат калибровки модели фоторезиста

4.4 Результат калибровки модели травления затворного слоя

Выводы к главе

Основные результаты работы и выводы

Список основных публикаций автора

Список литературы

Введение

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование физических основ построения рельефа в фоторезистивной маске с разработкой компактной литографической модели»

Актуальность работы

Современное производство передовых устройств микроэлектроники невозможно без проведения этапа фотолитографии. С уменьшением проектных норм разрешающая способность фотолитографии становится фактором, ограничивающим воспроизведение топологического рисунка на пластине. Вследствие дифракционных эффектов при прохождении пучка света через фотошаблон, распространение световых волн сопровождается искажениями, и рисунок на пластине «смазывается», проявляются т.н. «эффекты оптической близости». Эта проблема, непосредственно влияющая на разрешающую способность фотолитографии, усугубляется с уменьшением топологических размеров.

Для увеличения разрешающей способности фотолитографии принято использовать следующие способы: уменьшение длины волны экспонирующего излучения, увеличение числовой апертуры объектива и применении различных RET-методов (RET - resolution enhancement techniques). К таким методам относятся: применение внеосевого освещения, коррекция эффекта оптической близости (optical proximity correction, ОРС), использование вспомогательных топологических элементов с размерами, меньшими, чем разрешающая способность литографии (sub-resolution assist features, SRAF), использование фазосдвигающих фотошаблонов, разбиение рисунка для двойного экспонирования.

Для разработки и развития вышеперечисленных техник необходимо проводить значительное число экспериментов. Компактное моделирование литографического процесса ускоряет и удешевляет реализацию техник повышения разрешающей способности. С развитием технологий уменьшается минимально воспроизводимый размер элемента и увеличивается плотность рисунка на пластине, что требует учёта дополнительных физических эффектов, влияющих на формирование оптического изображения и изображения в фоторезисте. В связи этим появляется необходимость в разработке и усовершенствования компактных

литографических моделей, обладающих заданной степенью соответствия экспериментальным данным.

Поэтому задача достоверного моделирования переноса рисунка с фотошаблона на пластину является актуальной. Полученная в ходе работы модель найдёт применение в коррекции эффектов оптической близости на примере затворного слоя технологии проектной нормы 28нм.

Степень разработанности

В настоящее время выделяют три основных подхода к моделированию литографического процесса: физическое моделирование, моделирование на основе машинного обучения и компактное моделирование.

При физическом моделировании проводятся следующие этапы [1]:

1. Расчёт функции пропускания фотошаблона.

2. Расчёт распределения интенсивности экспонирующего излучения в отсутствии фоторезиста, т.н. «воздушное» изображение.

3. Расчёт концентрации фоточувствительного компонента фоторезиста, т.н. «скрытое» изображение.

4. Проявление фоторезиста (модель Дилла [2], модель Кима [3], модель Мака [4]).

5. Травление слоя через фоторезистивную маску.

Физическое моделирование принято использовать в задачах подбора технологических режимов. Например, расчёт оптимальной дозы экспонирования и согласование толщин слоёв фоторезиста и антиотражающих покрытий. Эти задачи объединены тем, что область расчёта сильно локализована и площадь обрабатываемой топологии не превышает десятка мкм2. Это реализовано в программах: Prolith[5], Slitho[6], SAMPLE[7], Dr.Litho[8].

С развитием методов машинного обучения некоторые задачи в области вычислительной литографии были решены с применением нейронных сетей: расстановка вспомогательных непечатаемых структур [9], предсказание профиля фотрезистивной маски на основе анализа рисунка на фотошаблоне [10], обучение модели на базе РЭМ-изображений тестовых структур для предсказания ухода

размеров на пластине [11] и другие. Применение методов машинного обучения позволяет добиться высокой точности моделирования для проектных норм 14нм и менее, однако требует отдельного этапа обучения модели.

При моделировании процесса формирования фоторезистивной маски для проектных норм 90-28нм принято использовать компактные полуэмпирические модели [12]. В основе этих моделей заложены уравнения, использующие параметры распределения интенсивности «воздушного» изображения. Весовые коэффициенты членов порогового уравнения рассчитываются на основе измерений тестовых структур на пластине [13].

В настоящее время в отечественной микроэлектронике рассмотрен подход и применены решения к компактному моделированию для проектных норм 65нм и более [14-16]. В рамках данной работы рассмотрены особенности моделирования процесса формирования фоторезистивной маски для проектной нормы 28нм.

Цели и задачи

Цель диссертационной работы состоит в исследовании процесса формирования оптического изображения, изображения в результате проявления и травления на примере затворного слоя проектной нормы 28нм. На основе исследования требуется откалибровать компактную полуэмпирическую литографическую модель, учитывающую геометрию фотошаблона, уход размеров при проявлении фоторезистивной маски и травлении затворного слоя. А также разработать способы подготовки оптимального набора данных для калибровки литографической модели.

Для достижения поставленной цели необходимо было решить следующие задачи:

1. Провести обзорно-аналитический анализ подходов к полному физическому и компактному полуэмпирическому моделированию процесса формирования изображения в фоторезисте.

2. Рассчитать распределение интенсивности света на поверхности фоторезиста в зависимости от используемого типа фотошаблона и параметров проекционной системы.

3. Спроектировать универсальный фотошаблон тестовых структур для последующей калибровки литографической модели.

4. Разработать инструмент оптимального выбора тестовых структур для измерений. Используя этот инструмент, провести разделение набора тестовых структур на калибровочное и верификационное множество.

5. Построить модель формирования изображения с учётом трёхмерной геометрии маскирующего слоя фотошаблона, а именно: толщины и угла наклона стенки слоя MoSi.

6. Построить, откалибровать и верифицировать:

а) оптическую модель, учитывающую параметры литографической установки, форму источника экспонирующего излучения и размытие изображения вследствие аберраций.

б) модель проявления фоторезиста, преобразующую распределение интенсивности излучения в распределение кислоты, которая образуется вследствие экспонирования.

в) модель травления затворного слоя, на основе которой определить правила учёта ухода размеров при травлении для периодических структур различной ширины и периода.

Научная новизна

1. Для расчёта воздушного изображения высокоапертурной проекционной системы впервые в России применён гибридный метод Хопкинса-Аббе, который позволяет учесть различие в дифракции света в зависимости от сектора источника экспонирующего излучения.

2. Исследовано влияние количества и конфигурации секторов разбиения источника излучения на точность моделирования изображения в фоторезисте.

3. Выявлено ограничение в применении приближения Кирхгофа при числовой апертуре равной 1,35 в иммерсионной среде с использованием фазосдвигающего шаблона с ослаблением 6%.

4. Впервые для отечественной практики при расчёте дополнительной засветки от наклонённой вследствие травления стенки MoSi применена трёхмерная модель фотошаблона, учитывающая толщину, угол наклона стенки, коэффициенты преломления и поглощения слоя MoSi.

5. Впервые предложен подход к детектированию дефектов на РЭМ-снимках с применением сверточных нейронных сетей на базе архитектуры YoloV5. Предложенное решение существенно сократило время обработки экспериментальных результатов и снизило вероятность ошибки, обусловленной «человеческим фактором».

Теоретическая и практическая значимость

1. При расчёте воздушного изображения оптимизировано разбиение источника на секторы, благодаря которому ошибка оптической модели (RMSE, root mean square error) уменьшилась с 4.05нм до 2.49нм.

2. Предложен маршрут подготовки литографических моделей для затворного слоя технологии проектных норм 28нм, в рамках которого откалиброваны оптическая, фоторезистивная модели и модель травления затворного слоя через полученную фоторезистивную маску.

3. Для компенсации эффекта стоячих волн рассчитана оптимальная толщина фоторезиста и антиотражающих покрытий, при которой уменьшился разброс смоделированного размера на трёх уровнях в фоторезисте: на поверхности, в центре и на дне. В результате чего уменьшилась ошибка переноса рисунка на пластину.

4. Разработан универсальный шаблон калибровочных тестовых структур. Универсальность шаблона заключается в том, что он в себе содержит структуры разнообразных конфигураций и размеров (в том числе, с использованием SRAF), которых достаточно для калибровки как критических слоёв (затворный слой,

активная область, слой контактов, и т.д.), так и некритических слоёв (№, P+ имплантации).

5. Разработан алгоритм, позволяющий выбрать заданное число структур для измерений, отличающихся максимальной разнообразностью параметров воздушного изображения.

6. Разработано программное средство, позволяющее подготовить план измерений тестовых структур для калибровки модели фоторезиста с помощью анализа пространства параметров воздушного изображения методом кластеризации, равномерного или адаптивного сеточного разбиения. На программный продукт получено Свидетельство РФ об официальной регистрации программы для ЭВМ.

Методология и методы исследования

Для получения измерений использовалась методика растровой электронной микроскопии. Для обработки РЭМ-снимков были использованы методы машинного обучения. Теоретические исследования проводились на базе методов математического моделирования с использованием вычислительных экспериментов и экспертных оценок. В задаче определения оптимального набора структур для дальнейшей калибровки применены алгоритмы кластерного анализа, сеточного разбиения. Моделирование распределения интенсивности реализовано при помощи метода Хопкинса и Хопкинса-Аббе. Для калибровки моделей использованы численные методы расчёта плохо обусловленных матриц. Для моделирования процесса травления использованы свёртки воздушного изображения с функциями Гаусса.

Положения, выносимые на защиту

1. Для высокоапертурной проекционной системы учёт трёхмерной геометрии фазосдвигающего фотошаблона и различий дифракции света в зависимости от положения участка источника излучения относительно оптической оси.

2. Толщины слоёв фоторезистивной маски (Pi6894PH = 90нм, SiARC = 28нм, HM710 =100нм) позволяют свести к минимуму эффект стоячих волн вследствие отражения подающего света от подложки.

3. Методика выбора и разделения тестовых структур на калибровочное и верификационное множество на основе кластерного анализа параметров воздушного изображения.

4. Откалиброванная литографическая модель, полностью удовлетворяющая заданным допускам, с результатами на верификационном множестве: RMSE оптической модели 2.15нм (6,7% от минимально заложенного элемента), RMSE резистивной модели 0.76нм (2,4% от минимально заложенного элемента), RMSE модели травления 1.47нм (4,6% от минимально заложенного элемента).

5. Алгоритм анализа РЭМ-снимков на основе свёрточных нейронных сетей для обработки большого объёма результатов измерений и отбора снимков без дефектов для последующей калибровки литографических моделей.

Достоверность

Достоверность полученных данных и выдвигаемых на защиту научных положений обеспечивается использованием современных методов исследования. Результаты моделирования воздушного изображения находятся в хорошем соответствии с экспериментальными данными из литературных источников. Результаты работы были доложены на конференциях и представлены в статьях.

Личный вклад

Теоретические и практические результаты были получены автором лично, либо при непосредственном участии автора. Большая часть обработки экспериментальных данных были проделаны автором самостоятельно. Автором была поставлена задача по сортировке РЭМ-снимков на предмет наличия или отсутствия дефектов для дальнейшего использования в задаче калибровки литографических моделей. Автором разработана методика калибровки литографических моделей, выявлены необходимые требования по разработке

ячейки тестовых структур и метод разделения этих структур на калибровочное и верификационное множество на основе кластерного анализа, метода равномерного и адаптивного сеточного разбиения. Автором был проведен анализ параметров литографического процесса, исходя из которого выявлены оптимальные методы и аппроксимации, необходимые для моделирования воздушного изображения.

Апробация работы

Работа была представлена на выступлениях на всероссийских (6 докладов) и международных конференциях (15 докладов):

1. 63-я всероссийская научная конференция МФТИ, доклад: «Применение кластерного анализа для минимизации измерений калибровочных тестовых структур», 23-29 ноября 2020, Зеленоград, Москва, Россия;

2. 63-я всероссийская научная конференция МФТИ, доклад: «Исследование зависимости параметров воздушного изображения от конфигурации осветительной системы в проекционной фотолитографии», 23-29 ноября 2020, Зеленоград, Москва, Россия;

3. 64-я всероссийская научная конференция МФТИ, доклад: «Исследование и реализация методов выборки тестовых структур для калибровки модели резиста», 21 ноября - 03 декабря 2021, Зеленоград, Москва, Россия;

4. 64-я всероссийская научная конференция МФТИ, доклад: «Разработка метода экстракции контуров SEM-изображений для калибровки модели резиста в фотолитографии», 21 ноября - 03 декабря 2021, Зеленоград, Москва, Россия;

5. 65-я всероссийская научная конференция МФТИ, доклад: «Исследование зависимости оптимальной формы компактной модели фоторезиста от конфигурации топологического слоя», 03 - 08 апреля 2023, Зеленоград, Москва, Россия;

6. 65-я всероссийская научная конференция МФТИ, доклад: «Применение алгоритмов машинного обучения для детектирования SRAF-структур на РЭМ-изображениях», 03 - 08 апреля 2023, Зеленоград, Москва, Россия;

7. 5-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Алгоритм расчета окон процесса фотолитографии на основе модели резиста с постоянным порогом», 30 сентября -05 октября 2019, г. Алушта, Россия;

8. 5-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Разработка и применение экспресс-метода для увеличения окна литографического процесса», 30 сентября - 05 октября 2019, г. Алушта, Россия;

9. 6-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Методика формирования тестовых ячеек для включения в MPW-запуск», 28 сентября - 03 октября 2020, г. Ялта, Россия;

10. 6-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Современные методики RET как средство повышения качества литографического изображения в технологиях предыдущих поколений», 28 сентября - 03 октября 2020, г. Ялта, Россия;

11. 7-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Типовая оценочная спецификация на фотошаблоны критических литографических слоев технологии производства СБИС проектных норм 28 нм», 03 -09 октября 2021, г. Алушта, Россия;

12. 7-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Методика подбора оптимальных параметров оптической модели для различных топологических конфигураций», 03 -09 октября 2021, г. Алушта, Россия;

13. 7-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Исследование влияния угла наклона стенки фотошаблона на формирование изображения в фотолитографии», 03 -09 октября 2021, г. Алушта, Россия;

14. 7-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Модель оценки времени выполнения ОРС для Calibre OPCPro», 03 -09 октября 2021, г. Алушта, Россия;

15. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Система требований к фотошаблонам для проекционной фотолитографии, применяемым в производстве полупроводниковых изделий современных проектных норм», 02-08 октября 2022, Роза Хутор, Россия;

16. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Оптимизация формы осветителя и топологии для проектных норм 90-28 нм», 02-08 октября 2022, Роза Хутор, Россия;

17. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Разработка оптимального метода обработки SEM-изображений в целях распознавания контуров топологических структур», 02-08 октября 2022, Роза Хутор, Россия;

18. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Оптимизация формы осветителя и топологии для затворного слоя технологии 28 нм», 02-08 октября 2022, Роза Хутор, Россия;

19. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Исследование и доработка алгоритмов минимизации набора тестовых структур для калибровки модели фоторезиста», 02-08 октября 2022, Роза Хутор, Россия;

20. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Исследование влияния параметров литографического стека на проработку критических размеров в фоторезисте», 0208 октября 2022, Роза Хутор, Россия;

21. 8-я международная научная конференция «Электронная компонентная база и Микроэлектронные модули», доклад: «Развитие и применение компактных моделей фоторезистивной маски», 02-08 октября 2022, Роза Хутор, Россия.

Публикации

Материалы данной диссертации были использованы в 7 публикациях, из них 7 индексируются в ВАК.

Структура и объем работы

Содержание диссертационной работы состоит из списка сокращений, введения, четырёх глав, заключения, списка работ, опубликованных по теме диссертации, списка цитируемой литературы из 107 наименований и содержит 114 страниц, в том числе 57 рисунков и 22 таблицы.

Список сокращений

OPC - коррекция оптической близости (optical proximity correction)

rbOPC- коррекция эффектов оптической близости, основанная на правилах(rule-based OPC)

mbOPC- коррекция эффектов оптической близости, основанная на моделировании(model-based OPC)

DP - двойное экспонирование (double patterning)

SRAF - вспомогательная непечатаемая структура (sub resolution assist feature)

RET - техники повышения разрешающей способности (resolution enhancement techniques)

DoF- глубина фокуса (depth of focus)

TARC - верхнее антиотражающее покрытие (top anti-reflective coating)

BARC - нижнее антиотражающее покрытие (bottom anti-reflective coating)

NA - числовая апертура (numerical aperture)

PSM - фазосдвигающей фотошаблон (phase shifting mask)

Глава 1. Разрешающая способность фотолитографии

В главе рассматривается вопрос повышения разрешающей способности фотолитографии с применением различных техник, которые касаются настроек оборудования, характеристиками используемых материалов и фотошаблонов.

Согласно критерию Рэлея, минимально воспроизводимый размер на платине определяется формулой:

R = k1

Я

ÑA

(1.1)

где R - это разрешающая способность, к1 - это технологический коэффициент, NA - числовая апертура объектива, Я - длина волны излучения, нм.

Длина волны и числовая апертура определяются литографическим оборудованием, а технологический коэффициент - совокупностью используемых методов повышения разрешающей способности. Например, в работе рассматривается затворный слой проектной нормы 28 нм, с источником излучения ArF 193 нм, числовой апертурой 1.35. Подставив эти значения в формулу (1.1), получим к1 = 0.2, что сходится данными, предоставленными компанией Mentor Graphics (Рисунок 1)

с; си со

1.00 0.90 0.80 0.70 0.60 0.50 0.40 0.30 0.20 0.10

0.00

ч

X

!\ D п

¡■line N. / \ • Г

KrF V

rF

- kl без двойного экспонирования

1000

100

Проектная норма, нм

ю

Рисунок 1. Требуемое значение технологического коэффициента Ы в зависимости

от проектной нормы

Рассмотрим причины искажения информации при переносе на пластину. Прохождение электромагнитного излучения через проекционную систему в упрощенном виде можно представить следующим образом (Рисунок 2) [17].

Рисунок 2. Схематичное представление формирования воздушного изображения, где г8 - это эффективный радиус источника излучения, гр - это радиус числовой апертуры объектива, #шах - максимальный угол падающего излучения,

входящий в зрачок объектива.

Свет, рассеиваясь на непрозрачных элементах фотошаблона, формирует дифракционные порядки, что аналогично расчёту спектра при прямом преобразовании Фурье. Далее дифракционные порядки проходят через входной зрачок объектива конечного размера, что аналогично применению фильтра на спектр. На выходном зрачке объектива прошедшие дифракционные порядки участвуют в формировании итогового изображения в плоскости фоторезиста, т.е. по спектру восстанавливается исходный сигнал, как при обратном преобразовании Фурье. Стоит заметить, что вследствие ограниченной числовой апертуры, аберраций объектива, нескомпенсированных вибраций установки и т.д. возникает

потеря качества изображения при переносе с фотошаблона на пластину. С целью улучшения качества фоторезистивной маски разработано множество методов, которые рассмотрены в разделах 1.1-1.6. Совокупность применения этих методов позволит достичь минимального размера для затворного слоя проектной нормы 28нм при источнике экспонирующего излучения с длиной волны 193нм.

1.1Внеосевое освещение

Первая рассматриваемая методика повышения разрешающей способности фотолитографии касается вида источника экспонирующего излучения [18].

Основная цель применения внеосевого освещения - сместить спектр дифракционных порядков таким образом, чтобы в зрачок объектива попало как можно больше порядков. При этом один нулевой порядок не несёт в себе полезной информации, т.н. фоновая засветка. Однако при совместном прохождении с ещё хотя бы одним порядком позволяет восстановить изображение (рисунок 3).

Стандартный источник

Внеосевой источник

Фотошаблон

Дифракционные порядки

Апертура объектива

■ ■■ПК

.х'Ч,

ГГ1Г

Пластина

и

Воздушное изображение

X

X

Рисунок 3. Формирование изображения периодической структуры.

Как правило, форма источника подбирается под конкретный критический элемент топологии: периодическую структуру с наименьшими размерами. Критерием подбора служит размер окна процесса для данной структуры. Под окном процесса подразумеваются допустимые отклонения по дозе и фокусу экспонирования, при которых размер структуры отклоняется от номинального не более заданного допуска.

В рамках одного топологического слоя могут быть использованы структуры различных конфигураций. На этапе подбора формы источника используются наиболее критичные структур и рассматривается перекрытие их окон процесса. Таким образом, выбор формы источника сводится к задаче максимизации перекрытия окон процесса для заданного набора тестовых структур.

1.2 Иммерсия

Для преодоления ограничений числовой апертуры был разработан следующий метод - иммерсионная фотолитография [19]. Суть метода заключается в том, что область между выходным зрачком объектива и плёнкой фоторезиста заполняется жидкостью с коэффициентом преломления больше 1. Таким образом повышается числовая апертура (ЫА = п • 5т(9тах), где втах - это предельно допустимый угол падения света, прошедший через объектив).

1 г 1 г 1 11 / 1'

Воздух, Г1=1 1

Подложка

Рисунок 4. Различие в сухой и иммерсионной фотолитографии.

Как правило, в качестве жидкости используется деионизированная вода с показателем преломления п=1.44, что позволяет увеличить числовую апертуру до КЛ=1.35. При этом уменьшается допустимая глубина фокуса, которая определяется выражением:

„ . М (1.2)

ЫА2

где DoF - это допустимое отклонение от положения оптимального фокуса, при котором структура прорабатывается в резисте в рамках заданного допуска, к2 - это технологический коэффициент, определяемый техниками по увеличению глубины фокуса(теоретический предел равен единице).

Таким образом, необходимо использовать слой фоторезистивной маски, толщина которого не превышает допустимую глубину фокуса [20]. В рамках рассматриваемой задачи при Я = 193нм, КЛ = 1.35 толщина фоторезиста не может быть более 105нм.

1.3 Коррекция эффектов оптической близости

Применение способов увеличения захвата дифракционных порядков объективом (раздел 1.1-1.2) не обеспечивают одновременную проработку изолированных и периодических структур. Для этого необходимо вводить специальную коррекцию рисунка на фотошаблоне [21]. Под коррекцией подразумевается расширение или сужение элементов топологического рисунка для компенсации дифракционных эффектов. С помощью данной коррекции становится возможным использовать один источник экспонирующего излучения, добиться перекрытия кривых Боссунга, отражающих зависимость минимального размера элемента от расфокусировки оптического оборудования и изменении дозы экспонирования, и образования процессных окон для различных тестовых структур (рисунок 5).

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Харченко Екатерина Леонидовна, 2023 год

Список литературы

1. Mack C. Fundamental principles of optical lithography: the science of microfabrication. - John Wiley & Sons, 2007

2. Dill F. H. et al. Modeling projection printing of positive photoresists //IEEE Transactions on Electron Devices. - 1975. - Т. 22. - №. 7. - С. 456-464.

3. Kim D. J., Oldham W. G., Neureuther A. R. Development of positive photoresist //IEEE Transactions on Electron Devices. - 1984. - Т. 31. - №. 12. - С. 17301736.

4. Mack C. A. Development of positive photoresists //Journal of the Electrochemical Society. - 1987. - Т. 134. - №. 1. - С. 148.

5. Mack C. A. Inside Prolith //A Comprehensive Guide to Optical Lithography Simulation, FINLE Technologies (Austin, TX: 1997). - 1997. - Т. 30.

6. Klostermann U. et al. Calibration of physical resist models: methods, usability, and predictive power //Journal of Micro/Nanolithography, MEMS and MOEMS. -2009. - Т. 8. - №. 3. - С. 033005-033005-10.

7. Matsuzawa T., Kishimoto A., Tomioka H. Profile simulation of negative resist MRS using the SAMPLE photolithography simulator //IEEE Electron Device Letters. - 1982. - Т. 3. - №. 3. - С. 58-60.

8. Fuhner T. et al. Dr. LiTHO: a development and research lithography simulator //Optical Microlithography XX. - SPIE, 2007. - Т. 6520. - С. 1226-1237.

9. Shin Y. Computational lithography using machine learning models //IPSJ Transactions on System LSI Design Methodology. - 2021. - Т. 14. - С. 2-10.

10.Shim S., Choi S., Shin Y. Machine learning-based 3d resist model //Optical Microlithography XXX. - SPIE, 2017. - Т. 10147. - С. 408-417.

11.Kim, Y. et al.: OPC model accuracy study using high volume contour based gauges and deep learning on memory device, Proc. SPIE 10959, Metrology, Inspection, and Process Control for Microlithography XXXIII, 1095913 (2019).

12.Zuniga C., Deng Y., Granik Y. Resist profile modeling with compact resist model //Optical Microlithography XXVIII. - SPIE, 2015. - Т. 9426. - С. 460-469.

13.Balan N. N. et al. Basic approaches to photoresist mask formation modeling in computational lithography // I- я международная конференция «Математическое моделирование в материаловедении электронных компонентов», Москва, 21—23 октября 2019 г. - 2019. - Т. 22. - №. 4. - С. 288.

14.Домненко В. М. Базовый алгоритм для быстрого моделирования микроизображений при частично когерентном освещении //Оптический журнал. - 1998. - Т. 65. - №. 1. - С. 58-63.

15. Родионов И. А. Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения : дис. - Московский государственный технический университет им. НЭ Баумана, 2010.

16.Иванов В.В. Исследование эффектов оптической близости и разработка методов их коррекции для критических литографических слоев технологии производства СБИС проектных норм 65 нм: дис. - «Московский физико-технический институт (национальный исследовательский университет)», 2023

17.Mack C. A. Thirty years of lithography simulation //Optical Microlithography XVIII. - SPIE, 2005. - Т. 5754. - С. 1-12.

18.Ito T., Okazaki S. Pushing the limits of lithography //Nature. - 2000. - Т. 406. -№. 6799. - С. 1027-1031.

19.Owa S., Nagasaka H. Immersion lithography: its potential performance and issues //Optical Microlithography XVI. - SPIE, 2003. - Т. 5040. - С. 724-733.

20.Mulkens J. et al. Benefits and limitations of immersion lithography //Journal of Micro/Nanolithography, MEMS and MOEMS. - 2004. - Т. 3. - №. 1. - С. 104114.

21.De Bisschop P. How to make lithography patterns print: the role of OPC and pattern layout //Advanced Optical Technologies. - 2015. - Т. 4. - №. 4. - С. 253284.

22.Wang J. et al. Optimization of rule-based OPC fragmentation to improve wafer image rippling //31st European Mask and Lithography Conference. - SPIE, 2015. - Т. 9661. - С. 79-94.

23.Shi R. et al. The selection and creation of the rules in rules-based optical proximity correction //ASICON 2001. 2001 4th International Conference on ASIC Proceedings (Cat. No. 01TH8549). - IEEE, 2001. - С. 50-53.

24.Collins, Luke. "Design freedom gets the brush-off [electronics design]." Engineering & Technology 3.13 (2008): 38-41.

25.Cobb N. B. et al. Enhanced model-based OPC for 65 nm and below //24th Annual BACUS Symposium on Photomask Technology. - SPIE, 2004. - Т. 5567. - С. 1305-1314.

26.Finders J. et al. DUV Lithography (KrF) for 130 nm using off-axis illumination and assisting features //Semicon Japan. - 1999. - Т. 99.

27.Шамин Е. С. и др. Генерация Rule-based SRAF для двумерных топологических структур с учетом правил MRC: проблемы и решения //Электронная техника. Серия 3: Микроэлектроника. - 2019. - №. 2. - С. 3641.

28.Pusuluri R. M. V. M., Bashaboina P. Y., Oberschmidt J. M. Efficient method for SRAF rule determination //Photomask and Next-Generation Lithography Mask Technology XVIII. - SPIE, 2011. - Т. 8081. - С. 193-199.

29.Mukherjee M. et al. The problem of optimal placement of sub-resolution assist features (SRAF) //Optical Microlithography XVIII. - SPIE, 2005. - Т. 5754. - С. 1417-1429.

30.Kan W. M. et al. Placement of Sub-Resolution Assist Features Based on a Genetic Algorithm //IEEE Access. - 2019. - Т. 7. - С. 86064-86076.

31.Xu Y. et al. The performance improvement of SRAF placement rules using GA optimization //Photomask Technology 2016. - SPIE, 2016. - Т. 9985. - С. 158164.

32.Zhang J. et al. Sub-resolution assist features placement using cost-function-reduction method //Photomask Technology 2009. - SPIE, 2009. - T. 7488. - C. 289-300.

33.Smith B. W. et al. Optical Microlithography XVIII //Proceedings of the SPIE. -2005. - T. 5754. - C. 1412.

34.Wang S. et al. Machine learning assisted SRAF placement for full chip //Photomask Technology 2017. - SPIE, 2017. - T. 10451. - C. 95-101.

35.Mack C. A. Field guide to optical lithography. - Bellingham : SPIE, 2006.

36.McCallum M. et al. Alternating PSM mask performance: a study of multiple fabrication technique results //Optical Microlithography XIV. - SPIE, 2001. - T. 4346. - C. 723-728.

37.Moniwa A. et al. Algorithm for Phase-Shift Mask Design with Priority on Shifter Placement //Japanese journal of applied physics. - 1993. - T. 32. - №. 12S. - C. 5874.

38.Yamana M. et al. Comparison of lithographic performance between MoSi binary mask and MoSi attenuated PSM //Photomask and Next-Generation Lithography Mask Technology XVI. - SPIE, 2009. - T. 7379. - C. 446-457.

39.Kim K. et al. Process capability analysis of DUV alternating PSM and DUV attenuated PSM lithography for 100-nm gate fabrication //Optical Microlithography XIII. - SPIE, 2000. - T. 4000. - C. 132-148.

40.Kachwala N., Petersen J. S., McCallum M. High-transmission attenuated PSM: benefits and limitations through a validation study of 33%, 20%, and 6% transmission masks //Optical Microlithography XIII. - SPIE, 2000. - T. 4000. - C. 1163-1174.

41.Ronse K. et al. Attenuated phase shifting masks in combination with off-axis illumination: a way towards quarter micron DUV lithography for random logic applications //Microelectronic Engineering. - 1994. - T. 23. - №. 1-4. - C. 133138.

42.Finders J. et al. Double patterning for 32nm and below: an update //Optical Microlithography XXI. - SPIE, 2008. - T. 6924. - C. 67-78.

43.Drapeau M. et al. Double patterning design split implementation and validation for the 32nm node //Design for Manufacturability through Design-Process Integration.

- SPIE, 2007. - T. 6521. - C. 83-97.

44.Zimmerman P. Double patterning lithography: double the trouble or double the fun? //SPIE Newsroom. - 2009. - T. 20.

45.Grunwald J. J. et al. High contrast photoresist for use with wafer steppers //Advances in Resist Technology I. - SPIE, 1984. - T. 469. - C. 169-178.

46.Adeyeye A. O., Singh N. Large area patterned magnetic nanostructures //Journal of Physics D: Applied Physics. - 2008. - T. 41. - №. 15. - C. 153001.

47.Jung J. C. et al. Top antireflective coating process for immersion lithography //Advances in Resist Technology and Processing XXII. - SPIE, 2005. - T. 5753. -C. 519-526.

48.Kang W. B. et al. Bottom Anti-Reflective Coatings for DUV Lithography //Journal of Photopolymer Science and Technology. - 1997. - T. 10. - №. 3. - C. 471-477.

49.Su X. et al. Thickness optimization for lithography process on silicon substrate //Advances in Patterning Materials and Processes XXXII. - SPIE, 2015. - T. 9425.

- C. 503-516.

50.Gordon R. L., Mack C. A. Lithography simulation employing rigorous solutions to Maxwell's equations //Optical Microlithography XI. - SPIE, 1998. - T. 3334. - C. 176-196.

51. Smith M. D. et al. Methods for benchmarking photolithography simulators: part III //Optical Microlithography XVIII. - SPIE, 2005. - T. 5754. - C. 969-977.

52.Moharam M. G., Gaylord T. K. Rigorous coupled-wave analysis of planar-grating diffraction //JOSA. - 1981. - T. 71. - №. 7. - C. 811-818.

53.Lee S. G. et al. More stable algorithm for rigorous coupled wave analysis applied to topography simulation in optical lithography and its numerical implementation //Optical Microlithography IX. - SPIE, 1996. - T. 2726. - C. 288-298.

54.Yeung M. S. Modeling high numerical aperture optical lithography //Optical/Laser Microlithography. - SPIE, 1988. - T. 922. - C. 149-167.

55.Kirchauer H., Selberherr S. Three-dimensional photolithography simulator including rigorous nonplanar exposure simulation for off-axis illumination //Optical Microlithography XI. - SPIE, 1998. - Т. 3334. - С. 764-776.

56.Nyyssonen D. Theory of optical edge detection and imaging of thick layers //JOSA.

- 1982. - Т. 72. - №. 10. - С. 1425-1436.

57.Yuan C. M. Calculation of one-dimensional lithographic aerial images using the vector theory //IEEE transactions on electron devices. - 1993. - Т. 40. - №2. 9. - С. 1604-1613.

58.Lucas K. D., Tanabe H., Strojwas A. J. Efficient and rigorous three-dimensional model for optical lithography simulation //JOSA A. - 1996. - Т. 13. - №. 11. - С. 2187-2199.

59.Assous F. et al. On a finite-element method for solving the three-dimensional Maxwell equations //Journal of Computational Physics. - 1993. - Т. 109. - №. 2.

- С. 222-237.

60.Monk P. Finite element methods for Maxwell's equations. - Oxford University Press, 2003.

61.Wojcik G. L. et al. Some image modeling issues for I-line, 5X phase-shifting masks //Optical/Laser Microlithography VII. - SPIE, 1994. - Т. 2197. - С. 455-465.

62.Yee K. Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media //IEEE Transactions on antennas and propagation. -1966. - Т. 14. - №. 3. - С. 302-307.

63.Wong A. K., Neureuther A. R. Rigorous three-dimensional time-domain finite-difference electromagnetic simulation for photolithographic applications //IEEE Transactions on Semiconductor Manufacturing. - 1995. - Т. 8. - №. 4. - С. 419431.

64.Pistor T. V. Electromagnetic simulation and modeling with applications in lithography. - University of California, Berkeley, 2001.

65.Adam K. Domain decomposition methods for the electromagnetic simulation of scattering from three-dimensional structures with applications in lithography. -University of California, Berkeley, 2001.

66. Köhler H. On Abbe's theory of image formation in the microscope //Optica Acta: International Journal of Optics. - 1981. - Т. 28. - №. 12. - С. 1691-1701.

67. Корн Г., Корн Т. Справочник по математике для научных работников и инженеров. -М. Наука, 1984. -832 с.

68.Вознесенский Н. Б. Ортогональные полиномы для описания аберраций

оптических систем с различными видами симметрии. Известия вузов СССР. Приборостроение. т. 5, с. 92-94, 1982.

69.Masters B. R. Abbe's theory of image formation in the microscope //Superresolution optical microscopy: The quest for enhanced resolution and contrast. - 2020. - С. 65-108.

70.Hopkins H. H. On the diffraction theory of optical images //Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences. - 1953. - Т. 217. - №. 1130. - С. 408-432.

71.Schlief R. E., Liebchen A., Chen J. F. Hopkins versus Abbe: a lithography simulation matching study //Optical Microlithography XV. - SPIE, 2002. - Т. 4691. - С. 1106-1117.

72.Adam K., Lam M. C. Hybrid Hopkins-Abbe method for modeling oblique angle mask effects in OPC //Optical Microlithography XXI. - SPIE, 2008. - Т. 6924. -С. 497-508.

73.Erdmann A. et al. Validity of the Hopkins approximation in simulations of hyper-NA (NA> 1) line-space structures for an attenuated PSM mask //Optical Microlithography XIX. - SPIE, 2006. - Т. 6154. - С. 167-178.

74.Adam K. et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC //Microelectronic engineering. - 2009. - Т. 86. - №. 4-6. - С. 492-496.

75.Erdmann A. Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects //21st European Mask and Lithography Conference. - SPIE, 2005. - Т. 5835. - С. 69-81.

76.Aksenov Y., Zandbergen P., Yoshizawa M. Compensation of high-NA mask topography effects by using object modified Kirchhoff model for 65 and 45nm nodes //Optical Microlithography XIX. - SPIE, 2006. - Т. 6154. - С. 513-522.

77.Adam K., Neureuther A. R. Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering //Journal of Micro/Nanolithography, MEMS and MOEMS. - 2002. - Т. 1. - №. 3. - С. 253269.

78.Lam M. C. et al. Accurate 3DEMF mask model for full-chip simulation //Optical Microlithography XXVI. - SPIE, 2013. - Т. 8683. - С. 449-457.

79.Dill F. H. WP hornberger, PS Hauge and JM Shaw, characterization of positive photoresist //IEEE trans. On Electron Devices. - 1975. - Т. 22. - №. 7.

80.Dill F. H. et al. Modeling projection printing of positive photoresists //IEEE Transactions on Electron Devices. - 1975. - Т. 22. - №. 7. - С. 456-464.

81.Liu R. et al. A generalized dill exposure model for negative thick photoresist //2007 International Conference on Information Acquisition. - IEEE, 2007. - С. 285-291.

82.Sturtevant J. L., Tejnil E. Roadmap to sub-nanometer OPC model accuracy //Photomask and Next-Generation Lithography Mask Technology XIX. - SPIE, 2012. - Т. 8441. - С. 120-131.

83.Huang W. C. et al. Two threshold resist models for optical proximity correction //Optical Microlithography XVII. - SPIE, 2004. - Т. 5377. - С. 1536-1543.

84.Randall J. et al. Variable-threshold resist models for lithography simulation //Optical Microlithography XII. - SPIE, 1999. - Т. 3679. - С. 176-182.

85.Granik Y., Cobb N. B., Do T. Universal process modeling with VTRE for OPC //Optical Microlithography XV. - SPIE, 2002. - Т. 4691. - С. 377-394.

86.Fuard D., Besacier M., Schiavone P. Assessment of different simplified resist models //Optical Microlithography XV. - SPIE, 2002. - Т. 4691. - С. 1266-1277..

87.Shang S. D. et al. Failure prediction across process window for robust OPC //Optical Microlithography XVI. - SPIE, 2003. - Т. 5040. - С. 431-440.

88.Sturtevant J. L. Challenges for patterning process models applied to large scale //Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. - 2012. -Т. 30. - №. 3. - С. 030802.

89.Sturtevant J. L. The evolution of patterning process models in computational lithography //Advances in Resist Materials and Processing Technology XXVII. -SPIE, 2010. - Т. 7639. - С. 17-29.

90.Burbine A., Fryer D., Sturtevant J. Akaike information criterion to select well-fit resist models //Design-Process-Technology Co-optimization for Manufacturability IX. - SPIE, 2015. - Т. 9427. - С. 157-163.

91. Granik Y. Dry etch proximity modeling in mask fabrication //Photomask and Next-Generation Lithography Mask Technology X. - SPIE, 2003. - Т. 5130. - С. 86-91.

92.Granik Y. Correction for etch proximity: new models and applications //Optical Microlithography XIV. - SPIE, 2001. - Т. 4346. - С. 98-112.

93.Shang S., Granik Y., Niehoff M. Etch proximity correction by integrated modelbased retargeting and OPC flow //Photomask Technology 2007. - SPIE, 2007. -Т. 6730. - С. 834-837.

94.Shim S., Shin Y. Etch proximity correction through machine-learning-driven etch bias model //Advanced Etch Technology for Nanopatterning V. - SPIE, 2016. - Т. 9782. - С. 58-67.

95.Al-Imam M. Design driven test patterns for OPC models calibration //Optical Microlithography XXII. - SPIE, 2009. - Т. 7274. - С. 734-742.

96.Jeong M. G. et al. Improving the model robustness for OPC by extracting relevant test patterns for calibration //Optical Microlithography XX. - SPIE, 2007. - Т. 6520. - С. 1492-1498.

97.Махрусе Насма. Современные тенденции методов интеллектуального анализа данных: метод кластеризации // Московский экономический журнал. - №6. - 2019. - С.19. doi: 10.24411/2413-046Х-2019-16034

98.Тюрин А.Г., Зуев И.О. Кластерный анализ, методы и алгоритмы кластеризации. Вестник МГТУ МИРЭА - М., 2014 - №2 выпуск 3 - С.86-97.

99.Zhexue Huang. Extensions to the k-Means Algorithm for Clustering Large Data Sets with Categorical Values // Data Mining and Knowledge Discovery. Kluwer Academic Publishers. - 2009. - P. 283-304

100. Shu- Chuan [and etc]. An Incremental Multi-Centroid, Multi-Run Sampling Scheme for k-medoids-based Algorithms - Extended Report // Technical Report KDM- 02- 003 - 2002. - P.7

101. Dey B. et al. Deep learning-based defect classification and detection in SEM images //Metrology, Inspection, and Process Control XXXVI. - SPIE, 2022. - C. PC120530Y.

102. Hao W., Zhili S. Improved mosaic: Algorithms for more complex images //Journal of Physics: Conference Series. - IOP Publishing, 2020. - T. 1684. - №. 1. - C. 012094.

103. Sahu S. et al. An approach for de-noising and contrast enhancement of retinal fundus image using CLAHE //Optics & Laser Technology. - 2019. - T. 110. - C. 87-98.

104. Weiss B. Fast median and bilateral filtering //ACM SIGGRAPH 2006 Papers. - 2006. - C. 519-526.

105. Guertin J. P., McGuire M., Nowrouzezahrai D. A Fast and Stable Feature-Aware Motion Blur Filter //High performance graphics. - 2014. - C. 51-60.

106. Tan C. B. et al. Sub-resolution assist feature (SRAF) printing prediction using logistic regression //Optical Microlithography XXVIII. - SPIE, 2015. - T. 9426. - C. 521-526.

107. Alom M. Z. et al. The history began from alexnet: A comprehensive survey on deep learning approaches //arXiv preprint arXiv:1803.01164. - 2018.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.