Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях тема диссертации и автореферата по ВАК РФ 05.12.13, кандидат технических наук Аристархов, Василий Юрьевич

  • Аристархов, Василий Юрьевич
  • кандидат технических науккандидат технических наук
  • 2008, Москва
  • Специальность ВАК РФ05.12.13
  • Количество страниц 154
Аристархов, Василий Юрьевич. Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях: дис. кандидат технических наук: 05.12.13 - Системы, сети и устройства телекоммуникаций. Москва. 2008. 154 с.

Оглавление диссертации кандидат технических наук Аристархов, Василий Юрьевич

СОДЕРЖАНИЕ.

ВВЕДЕНИЕ.

ГЛАВА 1. РАЗВИТИЕ БЕСПРОВОДНЫХ СИСТЕМ. СУЩЕСТВУЮЩИЕ МЕТОДЫ ПОСТРОЕНИЯ ПРИЕМОПЕРЕДАЮЩЕГО ТРАКТА.

1.1 Архитектура беспроводных самоорганизующихся сетей с переменной топологией. Существующие стандарты персональной беспроводной связи.

1.2 Физический уровень на основе сверхширокополосных сигналов как приоритетный вариант построения беспроводных систем связи.

1.3 Вычислительные платформы с процессорами общего назначения для локальных систем связи.

ГЛАВА 2. МЕТОДИКА РАЗРАБОТКИ ФИЗИЧЕСКОГО УРОВНЯ БЕСПРОВОДНОЙ СЕТИ НА ОСНОВЕ ТЕОРЕТИЧЕСКОГО АНАЛИЗА СПОСОБОВ ПОСТРОЕНИЯ СИГНАЛЬНЫХ КОНСТРУКЦИЙ.

2.1 Характеристики качества оптимального приемника для модуляции без памяти. Сравнение различных типов модуляции.

2.2 Обеспечение необходимой скорости передачи в рамках разрабатываемого физического уровня. Компромисс - скорость передачи/помехоустойчивость/простота реализации.

2.3 Последовательный детектор максимального правдоподобия как пример оптимальной демодуляции для каналов с памятью.

2.3.1 Оптимальный приемник для канала с межсимвольной интерференцией.

2.3.2 Алгоритм Кловского-Николаева для оптимального посимвольного приема.

2.3.3 Модель канала дискретного времени с межсимвольной интерференцией.

2.3.4 Алгоритм Витерби для модели канала с дискретным временем и белым шумом.

2.3.5 Методы решения проблемы вычислительной сложности алгоритма МППП для декодирования принятой последовательности. Разработанный алгоритм оптимального приема в целом на основе частотно-временных кластеров данных.

2.3.6 Помехоустойчивость алгоритма МППП для канала связи с МСИ при использовании независимых субпоследовательностей. Сравнение качества с существующими алгоритмами.

2.4 Синхронизация. Особенности синхронизации в сверхскоростных беспроводных сетях.

2.4.1 Фазовая синхронизация.

2.4.2 Символьная синхронизация.

2.4.3 Сетевая синхронизация.

2.5 Спектральная эффективность разработанных методов передачи информации.

ГЛАВА 3. ПРАКТИЧЕСКАЯ РЕАЛИЗАЦИЯ АЛЬТЕРНАТИВНОГО ФИЗИЧЕСКОГО УРОВНЯ БЕСПРОВОДНОЙ ВЫСОКОСКОРОСТНОЙ СЕТИ.

3.1 Принцип работы альтернативного физического уровня, разработанного на основе предложенных методов передачи данных.

3.2 Реализация синхронизации с использованием МППП детектора.

3.3 Принципиальная схема устройства — адаптера беспроводной сети. Блок-схемы и алгоритмы работы физического уровня.

3.3.1 Блок-схемы устройства.

3.3.2 Алгоритм формирования сигнальных конструкций и демодуляции данных.

3.4 Реализация методов параллельного формирования сигнальных конструкций и адаптивного декодирования на основе процессоров общего назначения с различным количеством вычислительных ядер.

3.5 Способы организации излучающих структур.

ГЛАВА 4. КАЧЕСТВО РАЗРАБОТАННЫХ АЛГОРИТМОВ ПЕРЕДАЧИ ИНФОРМАЦИИ В ВЫСОКОСКОРОСТНЫХ БЕСПРОВОДНЫХ СЕТЯХ НА ОСНОВЕ РЕЗУЛЬТАТОВ МОДЕЛИРОВАНИЯ ПРИЕМО-ПЕРЕДАЮЩЕГО ТРАКТА.

4.1 Имплементация физического уровня беспроводной сети в области частот [3,1-10,6] ГГЦ как пример использования разработанных методов передачи. Потенциальная пропускная способность. Частотное планирование.

4.2 Теоретические модели каналов связи, используемые при проектировании беспроводных систем связи.

4.3 Помехоустойчивость различных типов простейшей модуляции (ФМ-2, ЧМ-2, ЧММС).

4.4 Помехоустойчивость при различной скважности.

4.5 Помехоустойчивость при различной точности оценки импульсной характеристики канала связи и точности АЦП.

4.6 Исследование спектральной эффективности различных методов модуляции при построении многополосных систем связи.

4.7 Основные характеристики разработанного физического уровня в сравнении с существующими методами передачи информации в беспроводных высокоскоростных системах.

Рекомендованный список диссертаций по специальности «Системы, сети и устройства телекоммуникаций», 05.12.13 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях»

На сегодняшний день острой проблемой развития высокоскоростных персональных сетей стало отсутствие доступного, технически реализуемого решения для физического уровня. До недавнего времени технологии 802.15.1 (коммерческое название - Bluetooth) и 802.11 (коммерческое название Wi-Fi) были единственными способами организации сетей. Скорости передачи данных в них (до 100 Мбит/с) явно не соответствовали возрастающим потребностям пользователей. В феврале 2002 года Федеральная Комиссия по связи США (FCC - Fédéral Communications Commission) предложила использовать частотный диапазон [3,1-10,6] ГГц для коммерческих приложений [1], что послужило поводом созданию альянса компаний MultiBand OFDM association (МВОА) во главе с фирмой Intel. Результатом работы альянса стала выработка предложений для комитета института IEEE (Institute of Electrical and Electronics Engineers) по организации физического уровня и пользовательского доступа для высокоскоростных беспроводных сетей. Суть данных предложений сводилась к разбиению предложенного диапазона на поддиапазоны, ведению передачи поочередно в каждом из поддиапазонов и использованию технологии ортогонального уплотнения несущих (Orthogonal Frequency Division Multiplexing - OFDM) для борьбы с многолучевостью [2]. На канальном уровне планировалось использовать метод временного разделения каналов с коммутацией пакетов для организации множественного доступа.

Из-за ряда существенных недостатков данного способа передачи информации описанные выше предложения не приобрели статуса стандарта в комитете IEEE. Основными проблемами стали: технологическая сложность устройств, высокая стоимость, невозможность обеспечить высокую пропускную способность. На текущий момент разработаны прототипы устройств беспроводной универсальной последовательной шины (wireless universal sériai bus - WUSB), где используется физический уровень с максимальной скоростью передачи 480 Мбит/с, работающий в полосе 1,5 ГГц, что покрывает лишь 20% разрешенного диапазона.

Таким образом, одной из актуальных научных проблем телекоммуникации и развития систем связи является разработка методов организации высокоскоростного приемо-передающего тракта в персональных беспроводных сетях с возможностью масштабирования частотного диапазона и устойчивой работы в условиях сложной помеховой ситуации. Именно высокоскоростная передача данных представляет особый интерес, т.к. эта технология позволяет заместить имеющиеся проводные каналы (соединения между компьютерами, соединения системного блока с монитором и т.д.) беспроводными, существенно упростив высокоскоростной доступ в сеть [3].

Объектом исследования данной работы являются методы организации передачи данных в беспроводных сетях.

Предмет исследования — физический уровень беспроводной сети.

Цель работы — анализ и разработка архитектуры, протоколов и алгоритмов обработки сигналов для высокоскоростных беспроводных персональных самоорганизующихся сетей передачи данных с переменной топологией (AD-НОС) и широким набором требований по качеству обслуживания.

Научная новизна диссертации состоит в разработанных методах передачи данных на основе субпоследовательностей, позволяющих существенно упростить вычислительную сложность детектора, работающего по принципу максимального правдоподобия принятой последовательности (МППП). Использование МППП детектора реализует оптимальный прием сигналов в целом в каналах с межсимвольной интерференцией (МСИ) и повышает помехоустойчивость по сравнению с посимвольным приемом, используемом в известных алгоритмах Витерби и АКН [4]. Кроме того, разработанный метод приема позволяет избежать процедуры оценки ИХ канала связи и вычисления свертки полученной оценки с принимаемыми данными, взамен чего опорные последовательности формируются из тестовых данных напрямую. Для предложенных алгоритмов детектирования сигналов в работе приведен анализ помехоустойчивости и найдена верхняя граница вероятности ошибочного приема для каналов с МСИ.

На основе предложенных методов разработан протокол передачи данных для высокоскоростных беспроводных сетей. В целях повышения пропускной способности сети и организации множественного доступа в протоколе используется технология быстрого и медленного перескока частот (ПРЧ), посредством которой формируются частотно-временные кластеры, на основе которых была разработана гибридная схема коммутации данных [5].

Суть гибридной схемы состоит в использовании коммутации пакетов для передачи служебного и низкоскоростного трафика и коммутации каналов для высокоскоростного потокового трафика. Преимуществом данной схемы является низкий уровень задержек (критичных для мультимедийных данных), эффективное использование предоставленного частотного диапазона и возможность применения элементов кросс-уровневого взаимодействия для адаптивного изменения качества обслуживания, что полностью соответствует поставленным критериям работы физического уровня.

Для разработки устройства - адаптера беспроводной сети, предложен новый метод проектирования приемопередающего тракта, являющийся логическим продолжением архитектуры Армстронга [6], на основе которой построено большинство современных беспроводных устройств. Суть подхода сводится к замене специальных блоков для детектирования данных в приемо-передающем тракте (например, модулей беспроводного доступа 802.1х) процессорами общего назначения (general propose unit - GPU) с многоядерной гомогенной архитектурой, которые используются совместно с такими блоками во многих цифровых устройствах (таких как персональный компьютер, переносной компьютер, мобильный коммуникатор и т.д.). Таким образом появляется возможность создания универсального адаптера беспроводной связи с возможностью поддержки всех доступных протоколов передачи данных. Более того, при разработке и поддержке новых протоколов возникает необходимость добавления новой функциональности в программное ядро вместо проектирования новых коммутационных устройств. Технологическую реализуемость данного подхода иллюстрируют вычислительные способности современных GPU (например, производительность процессора Intel Core Duo 2.66 GHz составляет 20 миллиардов операций в секунду (Giga Instruction Per second - GIPS), Intel Xeon 2CPU x 4Core - порядка 100 GIPS, а графического процессора Nvidia -порядка 300 GIPS) [7,8], что с учетом низкой вычислительной сложности разработанных схем детектирования говорит о возможности использования серийных процессоров для сигнальной обработки в высокоскоростных беспроводных сетях связи.

Также, в работе приведен теоретический расчет оптимальных параметров передачи данных для класса приемо-передающих устройств, использующих технологию ПРЧ в каналах связи с замираниями. Практическую ценность исследования представляют: разработанные методы передачи информации в средах с сильным влиянием замираний, отличающиеся высокой помехоустойчивостью и сравнительно низкой вычислительной сложностью; разработанный метод анализа параметров канала связи без оценки ИХ канала; разработанная схема коммутации цифровых данных для повышения пропускной способности беспроводных высокоскоростных сетей; внедрение результатов работы в исследованиях и разработках компании AHO УНЦ "Радиотехника".

Апробация результатов исследования. Основные положения диссертации изложены в следующих публикациях автора: 1. Архитектура самоорганизующихся беспроводных сетей, использующих сверхширокополосные сигналы [Текст] /В.Ю. Аристархов [и др.] // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 44. - Вып. 9. — Н.Новгород: НГТУ. - 2004. - С. 83-91.

2. Разработка физического уровня и моделирование персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] / В.Ю. Аристархов [и др.] // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 44. — Вып. 9. — Н.Новгород: НГТУ. - 2004. - С. 75-83.

3. Аристархов В.Ю. Разработка и моделирование работы физического уровня для персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] // Молодежь и современные информационные технологии: Сборник трудов II Всероссийской научно-практической конференции студентов. — Томск, ТПУ, 25-26 февраля 2004г. - Томск: ТПУ. - 2004.

4. AD-HOC Circuit Switching Wireless Network Based on the UWB Technology / Vasily Aristarhov [et al.] [Текст] // 2nd IEEE International Conference on Circuits and Systems for Communications. - 2004. — P. 969975.

5. Аристархов В.Ю., Кучинова Д.В., Швецова Т.А. Основные положения анализа и способов построения систем связи использующих сверхширокополосные сигналы [Текст] /Аристархов В.Ю., Кучинова Д.В., Швецова Т.А. // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 55. — Вып. 10. — Н.Новгород: НГТУ. - 2005. - С. 92-99.

6. Аристархов В.Ю., Казакова О.В., Кучинова Д.В., Швецова Т.А. Аспекты построения физического уровня беспроводной высокоскоростной сети [Текст] / Аристархов В.Ю., Казакова О.В., Кучинова Д.В., Швецова Т.А. // Сборник трудов второй международной научно-практической конференции "Исследование, разработка и применение высоких технологий в промышленности". — Спб.: Изд-во Политехи, ун-та. - 2006. - Т.4. - С. 14-19.

7. Аристархов В.Ю., Крылов B.B. Исследование и разработка физического уровня для высокоскоростных беспроводных сетей с коммутацией каналов [Текст] / Аристархов В.Ю., Крылов В.В. // Сборник трудов седьмой всероссийской научно-технической конференции "Современные проблемы радиоэлектроники". -Красноярск: КГТУ. - 2006. - С. 520-523.

8. Аристархов В.Ю., Крылов В.В. Разработка физического уровня сверхширокополосной беспроводной сети с коммутацией каналов [Текст] / Аристархов В.Ю., Крылов В.В. // Сборник трудов международной конференции "Математические методы в технике и технологиях" - Воронеж. - 2006. - Т. 8. - С. 185-187.

9. Vasily Aristarkhov, Vladimir Krylov. The architecture design of physical layer for high data-rate wireless networks [Текст] / Vasily Aristarkhov, Vladimir Krylov // The 10th World Multi-Conference on Systemics, Cybernetics and Informatics. - ISBN: 980-6560-65-5 (Collection), 980-656067-1 (Volume II). - 2006. - P. 175-177.

10. Аристархов В.Ю. Высокоскоростная беспроводная сеть передачи данных с множественным доступом на основе коммутации каналов. // Журнал научных публикаций аспирантов и докторантов [Текст] / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" - Курск. - 2007 №7-8. - ISSN 1991-3087. - С. 105-106. -1000 экз.

11. Аристархов В.Ю. Крылов В.В. Построение физического уровня беспроводной сети на основе время частотных кодов // Журнал научных публикаций аспирантов и докторантов [Текст] / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" — Курск. - 2007 №7-8. - ISSN 1991-3087. - С.100-103. - 1000 экз.

12. Аристархов В.Ю., Казакова О.В. Конвергенция информационных технологий с позиций концепции технологического детерминизма // Журнал научных публикаций аспирантов и докторантов [Текст] /

10 учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов". - Курск. - 2007 №7-8. - ISSN 1991-3087. - С.103-105. -1000 экз.

13. Vasily Aristarkhov. Circuit-switching physical layer based on time-frequency coding for multi-band UWB wireless networks [Текст] / Vasily Aristarkhov // The International Wireless Communications and Mobile Computing Conference 2008. - Greece, 2008. - in press.

14. Пат. 2291572 Российская Федерация, МПК H 04 В 7/24, H 04 J 4/00. Способ беспроводной локальной связи / В.Ю. Аристархов [и др.].; патентообладатель АНО УНЦ "Радиотехника". - № 2005110517/09; заявл. 11.04.05; опубл. 10.01.07, Бюл. № 1.

Среди вышеперечисленных материалов, три статьи были опубликованы в рецензируемом журнале ВАК "Журнал научных публикаций аспирантов и докторантов", от августа 2007 года. Кроме того, результаты работы внедрены в разработках компании АНО УНЦ "Радиотехника", что подтверждает акт внедрения (Приложение А). Также, на представленное в работе изобретение, имеется патент РФ № 2291572 "СПОСОБ БЕСПРОВОДНОЙ ЛОКАЛЬНОЙ СВЯЗИ" от ' 11 апреля 2005г. Зарегистрировано в Государственном реестре изобретений РФ 10 января 2007г. (Приложение Б). Для проведения исследования был получен грант №323 0709-4-56 по программе "Старт" Министерства Образования РФ.

Основные положения диссертации были представлены и обсуждены на следующих конференциях:

1. «Сверхскоростная беспроводная сеть с переменной топологией». Семинар. Нижегородский Государственный Университет. Декабрь 2004 г.

2. "Исследование, разработка и применение высоких технологий в промышленности". Санкт-Петербург, февраль 2006 г.

3. 10-я Научная конференция по радиофизике, Нижегородский Государственный Университет, Нижний Новгород 5 мая 2006 г. И

4. 19-ая Международная научная конференция "Математические методы в технике и технологиях". Воронеж 2006 г.

5. "The 10th World Multi-Conference on Systemics, Cybernetics and Informatics: WMSCI 2006", Orlando, Florida, July 2006.

Структура диссертационной работы.

В первой главе приводится описание существующих способов передачи информации в высокоскоростных беспроводных системах. Изложены их основные достоинства и недостатки, описаны причины, требующие разработки новых способов организации физического уровня. Приведена оценка вычислительной сложности проектируемого устройства.

Вторая глава посвящена теоретическому обоснованию разработанных методов передачи данных. Приведен качественный анализ помехоустойчивости и вычислительной сложности предложенных способов организации физического уровня. Найдены оптимальные параметры ведения передачи в условиях многолучевого распространения по критерию скорость передачи/вычислительная сложность.

В третьей главе изложены алгоритмы работы физического уровня, приведены схемы функционирования устройства — адаптера беспроводной сети, как на основе специализированных процессоров, так и на основе процессоров общего назначения. Для случая использования процессоров общего назначения приведены оптимизированные алгоритмы обработки данных с использованием параллельных вычислений на основе многоядерных систем. Кроме того, произведена оценка сложности алгоритмов и найдены максимальные скорости передачи данных в зависимости от вычислительных мощностей.

В четвертой главе приведены результаты моделирования разработанных методов передачи в условиях работы в средах с замираниями. Для моделирования были использованы модели каналов связи с многолучевым распространением, разработанные комитетом IEEE на основе эмпирических данных [9]. Результатом экспериментов стали графики

12 качества приема в зависимости от различных параметров, как-то отношение сигнал/шум, скорость передачи, точность оценки импульсной характеристики (ИХ) канала, точность аналого-цифрового преобразователя (АЦП), влияние фильтрации и т.д.

В конце каждой главы изложены выводы по результатам теоретических и экспериментальных этапов проведенного исследования.

Завершает диссертационную работу глоссарий, где приведена расшифровка терминов, используемых при изложении материала, библиографический список литературы и приложение, где приведены акт внедрения результатов исследования и патент на изобретение, представленное в диссертации.

Похожие диссертационные работы по специальности «Системы, сети и устройства телекоммуникаций», 05.12.13 шифр ВАК

Заключение диссертации по теме «Системы, сети и устройства телекоммуникаций», Аристархов, Василий Юрьевич

Выводы: Для количественной оценки характеристик разработанных методов передачи данных была реализована модель физического уровня беспроводной высокоскоростной сети, работающей в области частот [3,1 — 10,6] ГГц. Получены графики помехоустойчивости при использовании различных способов модуляции, параметров приемопередачи (скважность, точность АЦП, точность оценки ИХ канала). Моделирование показало преимущество разработанных протоколов по сравнению с существующими на основе OFDM по критерию помехоустойчивости на 1-3 дБ в зависимости от характеристик канала связи (при приблизительно равных скоростях передачи и коэффициенте спектрального использования). При помощи проведенного анализа пропускной способности сети определены накладные расходы, связанные с необходимостью передачи служебной информации (тестовые, синхронизирующие субпоследовательности).

Заключение

В диссертации проанализированы существующие методы построения высокоскоростных беспроводных систем, работающих в средах со значительным влиянием замираний. Выявлены их основные недостатки как с точки зрения качества и скорости передачи, так и со стороны схемотехнической сложности имплементации устройства — адаптера сети.

Для решения задачи помехоустойчивого приема в каналах с многолучевым распространением был применен детектор, основанный на принципе максимального правдоподобия принятой последовательности. Отличительной особенностью данного типа детекторов являются высокие вычислительные затраты на детектирование последовательностей символов. Упрощение схемы приема достигается с помощью передачи данных на основе субпоследовательностей, т.е. последовательностей, которые могут независимо детектироваться на приемной стороне, использования простейших (бинарных) типов модуляции и реализации приема в целом. Применение субпоследовательностей позволяет также избежать процедуры оценки ИХ канала, получая опорные последовательности непосредственно из тестовых данных. Для поддержания необходимой скорости передачи, информация может передаваться на нескольких поднесущих одновременно, что также позволяет адаптивно управлять параметрами соединения в зависимости от текущей помеховой обстановки.

В ходе теоретического анализа найдены оптимальные параметры передачи по критерию скорость/вычислительная сложность приема при различных мощностях используемого алфавита и длительности МСИ.

В отличие от существующих беспроводных систем связи с коммутацией пакетов, для увеличения пропускной способности сети и уменьшения задержек используется гибридная схема коммутации, т.е. коммутация каналов в случае высокоскоростного потокового трафика и коммутация пакетов для низкоскоростного служебного трафика.

Разработанные методы легли в основу протоколов передачи данных, архитектурный дизайн которых представлен как с использованием специализированных сигнальных процессоров, так и на основе GPU. Рассчитанная вычислительная сложность оптимизированных алгоритмов цифровой обработки сигналов на базе IA-32 архитектуры с различным числом ядер показала возможность поддержки высоких скоростей передачи при использовании разработанных протоколов на базе современных процессоров.

С помощью моделирования были получены кривые помехоустойчивости для физического уровня, оценена спектральная плотность мощности сигнала при использовании время частотных кодов. Произведенный сравнительный анализ с системами на основе OFDM в средах с различным влиянием замираний показал преимущества разработанных методов и эффективность предложенной архитектуры.

Глоссарий

AD-HOC network — беспроводная сеть с динамической топологией BER — bit error rate (коэффициент битовой ошибки)

CDMA - code division multiple access (множественный доступ с кодовым разделением каналов)

CPU - central processing unit (центральное вычислительное устройство) СМ - channel model (модель канала)

DS-CDMA - direct sequence CDMA (многостанционный доступ с кодовым разделением каналов и прямым расширением спектра)

DVI — digital video interactive (интерактивное цифровое видео (стандарт фирмы Intel; обеспечивает высокий аппаратный уровень сжатия полноэкранных видеоизображений, записываемых на оптический диск ))

Eb/No - bit energy to spectral noise power (отношение энергии информационного бита к спектральной плотности мощности шума)

EIRP — effective isotropic radiated power (эффективная изотропно-излучаемая мощность)

FLOPS - floating operations per second (число операций с плавающей точкой в секунду)

FCC — federal communications commission (Федеральная комиссия по средствам связи в США )

FSK — frequency shift keying (частотная модуляция)

GIPS — giga instruction per second (миллиард операций в секунду)

GPU — general purpose unit (процессор общего назначения)

IA - Intel architecture (архитектура процессоров фирмы Intel)

IEEE - institute for electrical and electronics engineers (Институт инженеров по электротехнике и электронике)

LOS - line of sight (условие прямой видимости)

MAC — media access control (уровень управления доступом к среде модели OSI)

MBOA - multiband OFDM Alliance (альянса компаний по созданию решения для персональных сетей на основе OFDM)

ММХ - multimedia extension (дополнительный набор команд для процессоров Intel)

NLOS — поп line of sight (условие непрямой видимости)

OFDM - orthogonal frequency division multiplexing (ортогональное мультиплексирование деления частоты)

OSI - open system interconnection (международная программа стандартизации обмена данными между компьютерными системами различных производителей на основе семиуровневой модели протоколов передачи данных в открытых системах)

PAN — personal area network (персональная сеть передачи данных) PCI - peripheral component interconnect (32-разрядная системная шина с возможностью расширения до 64 разрядов, взаимодействие через которую происходит без участия CPU)

PDA — personal digital assistant ("карманный" компьютер, предназначенный для выполнения некоторых специальных функций) PHY - physical layer (физический уровень модели OSI) PSK - phase shift keying (фазовая модуляция)

QAM - quadrature amplitude modulation (квадратурная амплитудная модуляция)

QoS — quality of service (качество и класс предоставляемых услуг передачи данных)

SIMD — single instruction multiply data (векторная команда процессора общего назначения)

SNR - signal-to-noise ratio (отношение сигнал/шум)

SoC — system-on-chip (микропроцессорное ядро с интегрированной логикой на одном кристалле)

SSE3 — streaming SIMD extension (расширение набора команд для векторных операций)

UWB — ultra-wideband (сверхширокополосный)

USB - universal serial bus (универсальная последовательная шина)

WLAN - wireless local area network (беспроводная локальная сеть)

WPAN — wireless personal area network (беспроводная персональная сеть)

WUSB — wireless universal serial bus (беспроводная универсальная последовательная шина)

АБГШ - аддитивный белый гауссовский шум

АКН - алгоритм Кловского-Николаева

AM - амплитудная модуляция

АРУ - автоматическая регулировка усиления

АЦП — аналого-цифровой преобразователь

БПФ - быстрое преобразование Фурье

ВЧК — время частотный код

ГУН — генератор управляемый напряжением

ДВЧК — длинный время частотный код

ИУ - импульсный усилитель

ИХ - импульсная характеристика

КВЧК — короткий время частотный код

KAM - квадратурная амплитудная модуляция

КОШ — коэффициент ошибок

КУ - коэффициент усиления

МППП - максимально правдоподобие принятой последовательности

МСИ - межсимвольная интерференция

МШУ - малошумящий усилитель

ОБПФ — обратное быстрое преобразование Фурье

ОСШ — отношение сигнал/шум

ПРЧ - перескок частот

РУ - решающее устройство

СКК - сигнально кодовая конструкция

СШП - сверхширокополосный

ФАПЧ — фазовая автоподстройка частоты

ФМ - фазовая модуляция

ЦАП - цифро-аналоговый преобразователь

ЧМ - частотная модуляция

ЧММС - частотная модуляция с минимальным сдвигом

Список литературы диссертационного исследования кандидат технических наук Аристархов, Василий Юрьевич, 2008 год

1. Intel's Multi-band UWB PHY Proposal for IEEE 802.15.3a

2. Электронный ресурс. / Jeff Foerster at al.]; Intel Corporation. IEEE 802.15.3a Working Group, submission. Mar. 2003. - [Режим доступа]. — http://www.ieee802.org/15/pub/, свободный.

3. Roy Longbottom. PC CPU Performance Comparisons / Roy Longbottom Электронный ресурс. .-Электрон, дан. — December 2007. — [Режим доступа]. -http://homepage.virgin.net/roy.longbottom/cpuspeed.htm.свободный.

4. Nvidia CUDA Compute Unified Device Architecture. Version 1.1

5. Крылов B.B. Основы теории и техники сверхширокополосных сигналов в приложении к радиосвязи и навигации Текст. / В.В. Крылов // Радио электронные и телекоммуникационные системы и устройства. Межвузовский сборник научных трудов. — Н.Новгород: НГТУ. 1996.

6. D. Leeper. A Long Term View of Short Range Wireless Текст. / D. Loper // IEEE Computer, 2001. 2001. -No.6.

7. M. Laughlin. DS-UWB Physical Layer Submission to 802.15 Task Group 3a: IEEE P.802.15-02/368r5-SG3 Sub-committee Submission Текст. / M. Laughlin. -2004

8. Сюваткин B.C., Есипенко В.И., Ковалев И.П., Сухоребров В.Г.

9. WiMAX технология беспроводной связи: теоретические основы, стандарты, применение" Текст. / Сюваткин B.C., Есипенко В.И., Ковалев И.П., Сухоребров В.Г.; под ред. В.В. Крылова. — СПб.: Спб, БХВ-Петербург. - 2005.

10. EE Std. 802.11-b / IEEE committee. -New York: IEEE 1999. Proakis J.G. Digital Communication Текст. / Proakis J.D. — McGraw-Hill Book Company. New York. - 1983.

11. Б.Скляр. Цифровая связь. Теоретические основы и практическое применение: второе издание, исправленное Текст. / Б.Скляр; пер. с англ. М.: Издательский дом Вильяме. — 2003. — 1104 с.139

12. Дж. Прокис. Цифровая связь Текст. / Дж. Прокис; пер. с англ; под ред. Д.Д. Кловского. М.: Радио и Связь. - 2000. - 800 е.: ил.

13. Schawartz М. Information, Transmission, Modulation and Noise. Текст. /

14. Second Edition // MGraw-Hill, New York, 1970.

15. JI. Невдяев. CDMA: борьба с замираниями Электронный ресурс. / JI. Невдяев / Журнал Сети №9 2000. [Режим доступа]. -http://www.osp.rU/nets/2000/09/l41359/, свободный.

16. Теория электрической связи: Учебник для вузов Текст. / А.Г Зюко, Д.Д. Кловский, В.И. Коржик, М.В. Назаров; Под ред. Д.Д. Кловского. М.: Радио и связь. - 1999. - 432 С.: 204 ил.

17. С. W. Helstrom. Statistical Theory of Signal Detection Текст. / С. W. Helstrom // New York: Pergamon, 1960. Sect. IV. 5.

18. Кловский Д.Д. Передача дискретных сообщений по радиоканалам: 2-е Изд. Текст. / Кловский Д.Д. М.: Радио и связь. - 1982. — 304с.

19. G. David Forney. Maximum-Likelihood Sequence Estimation of Digital Sequences in the Presence of Intersymbol Interference Текст. / G. David Forney // IEEE Transactions of Information theory. — Vol. IT-18. No.3. — May 1972.-P. 363-378.

20. A. J. Viterbi. Convolution codecs and their performance in communication systems Текст. / A. J. Viterbi // IEEE Trans. Commun. Technol. vol. COM-19. - Oct. 1971.-P. 751-772.

21. Витерби А.Д., Омура Д.К. Принципы цифровой связи и кодирование Текст. / Пер. с англ. под. ред. К.Ш. Зигангирова. М.: Радио и связь. - 1982.-526 С.

22. Yannis Kopsinis, Sergios Theodoridis, Eleftherios Kofidis. AN

23. EFFICIENT LOWCOMPLEXITY CLUSTERING-BASED MLSE EQUALIZER FOR FREQUENCY-SELECTIVE FADING CHANNELS. Текст. / Yannis Kopsinis, Sergios Theodoridis, Eleftherios Kofidis; Dept. of Informatics and Telecommunications, University of Athens

24. Panepistimioupolis, Ilissia 15784, Athens, Greece. 11 EUSIPCO 2004; Notes XXXV.- ISBN 3-200-001-48-8.-2004.- P. 2310-2315. Forney G.D. The Viterbi Algorithm Текст. / Forney G.D. // Proceeding of the IEEE, vol.61, n.3, March, 1978, PP. 268-278.

25. AD-HOC Circuit Switching Wireless Network Based on the UWB Technology Текст. / Vasily Aristarhov [et al.] // 2nd IEEE International

26. Conference on Circuits and Systems for Communications. 2004. - P. 969-975.

27. A. Saleh and R. Valenzuela. "A Statistical Model for Indoor Multipath Propagation" Текст. / A. Saleh and R. Valenzuela. // IEEE JSAC. Vol. SAC-5.-No. 2.-Feb. 1987.-PP. 128-137.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.