Исследование и разработка методов построения СФ-блоков для создания энергоэффективных устройств защиты информации тема диссертации и автореферата по ВАК РФ 05.27.01, кандидат наук Коршунов, Андрей Владимирович

  • Коршунов, Андрей Владимирович
  • кандидат науккандидат наук
  • 2013, Москва
  • Специальность ВАК РФ05.27.01
  • Количество страниц 139
Коршунов, Андрей Владимирович. Исследование и разработка методов построения СФ-блоков для создания энергоэффективных устройств защиты информации: дис. кандидат наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Москва. 2013. 139 с.

Оглавление диссертации кандидат наук Коршунов, Андрей Владимирович

Содержание

Содержание

Введение

Глава 1. Исследование подходов к оптимизации энергопотребления КМОП

СБИС

1.1 Классификация источников энергопотребления в КМОП СБИС

1.1.1 Динамическая составляющая мощности

1.1.2 Статическая составляющая мощности

1.1.3 Соотношение между составляющими мощности для различных топологических норм

1.2. Систематизация методов снижения энергопотребления на различных этапах проектирования КМОП СБИС

1.2.1 Приборно-технологический уровень

1.2.2 Схемотехнический уровень

1.2.3 Функционально-логический уровень

1.2.4 Системный и алгоритмический уровни

1.3. Маршруты проектирования с пониженной потребляемой мощностью в

современных САПР

Выводы

Глава 2. Исследование и разработка базовых элементов для энергоэффективных СБИС

2.1 Классификация библиотек базовых элементов для создания МОП СБИС43

2.2 Специализированные базовые элементы при построении энергоэффективных КМОП СБИС

2.3 Использование нескольких пороговых напряжений (МиШ-Утн)

2.4. Метод кластерного изменения напряжения питания

2.5. Разработка специализированных базовых элементов для СБИС с кластерным изменением напряжения питания

2.5.1 Преобразователи уровня сигнала для реализации кластерного изменения напряжения питания

2.5.2 Разработка схем преобразователей уровня сигнала

2.5.3 Исследование эффективности преобразователей уровня сигнала со стандартным Э-триггером

2.5.4 Использование специальных триггеров-преобразователей

2.5.5 Схемотехническое моделирование и анализ схем триггеров-преобразователей

2.6 Анализ эффективности кластерного изменения напряжения питания

2.7 Интеграция преобразователей уровня и триггеров-преобразователей в

разрабатываемые СБИС

Выводы

Глава 3. Методы построения энергоэффективных мобильных устройств с интегрированными функциями защиты информации

3.1 Проблемы построения мобильных устройств с ультранизким энергопотреблением

3.1.1 Беспроводные сенсорные сети

3.1.2 Устройства для радиочастотной идентификации

3.2 Вопросы безопасности портативных устройств

3.3 Структура системы с функциями защиты информации

3.4 Реализация функций проверки целостности и подлинности данных в беспроводных сенсорных сетях

3.5 Разработка алгоритмов защиты информации с учетом потребляемой мощности

3.5.1 Структура алгоритмов защиты информации

3.5.2 Функциональные примитивы для построения блоков защиты информации

3.5.3 Разработка подсистемы памяти для СФ-блоков зашиты информации91

3.5.4 Практическая реализация блоков защиты информации

3.6 Критерии энергоэффективности алгоритмов защиты информации

Выводы

Глава 4. Разработка и анализ СФ-блоков защиты информации с интеграцией метода КИНП в маршрут проектирования

4.1 Интеграция метода КИНП в маршрут проектирования цифровых СБИС на

основе стандартных ячеек

4.2. Разработка стандартных цифровых блоков с пониженной потребляемой мощностью

4.2.1 Блоки арифметических вычислений

4.2.2 Блок памяти типа FIFO

4.2.3 Анализ эффективности метода проектирования с пониженной потребляемой мощностью

4.2 Использование хэш-функций для обеспечения целостности и подлинности данных

4.2.1. Теоретические основы хэширования

4.2.2 Хэш-функции MD5 и SHA-1

4.2.4 Хэш-функция по ГОСТ Р 33 Л1--94

4.2.5 Сравнительный анализ

4.3 Хэш-функции семейства New Hashing

4.3.1 Математическое определение функции New Hashing (NH)

4.3.2 Модификация NH с использованием модулярной арифметики Polynomial Hashing (РН)..,

4.3.2 Анализ криптографической стойкости рассматриваемых хэш-функций

4.3.3 Модифицированная хэш-функция Polynomial Hashing Modified (РНМ)

4.3.4 Вопросы криптографической стойкости хэш-функции РНМ

4.4 Разработка аппаратных блоков хэширования данных

4.4.1 Аппаратный блок на базе хэш-функции NH

4.4.2 Аппаратный блок на базе хэш-функции РН

4.4.3 Аппаратный блок на базе хэш-функции РИМ

4.4.4 Верификация разработанных функциональных блоков

4.5 Аппаратная реализация разработанных блоков в базисе технологических библиотек стандартных элементов

4.5.1 Маршрут проектирования блоков защиты информации с оптимизацией энергопотребления

4.5.2 Результаты синтеза аппаратных блоков хэширования

4.5.3. Характеристики СФ-блоков при проектировании с использованием метода КИНП

Выводы

Заключение

Список литературы

Приложение

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование и разработка методов построения СФ-блоков для создания энергоэффективных устройств защиты информации»

Введение

Диссертационная работа посвящена исследованию методов построения устройств защиты информации с пониженной потребляемой мощностью и разработке СФ-блоков, реализующих предлагаемые методы на базе КМОП технологии.

Актуальность проблемы. С ростом степени интеграции все более важной становится такая характеристика цифровых СБИС как потребляемая мощность. Особенно важно энергопотребление для портативных устройств с автономным источником питания. В таких устройствах особенно важно добиться максимального времени работы от автономного источника ограниченной емкости [1]. Методы снижения потребляемой мощности существуют для всех этапов проектирования интегральных схем. Однако для интеграции методов снижения мощности КМОП СБИС с технологическими нормами менее 100 нм требуется разработка принципиально новых маршрутов и методологий проектирования, поскольку изменяется структура энергопотребления схем и важную роль начинают играть эффекты, связанные со статическим энергопотреблением.

Широкое распространение мобильных устройств (ноутбуки, мобильные телефоны, GPS- и ГЛОНАСС-приемники), а также миниатюрных устройств беспроводной передачи данных с автономным источником питания -беспроводных сенсорных сетей и меток радиочастотной идентификации привело к изменению требований к проектированию цифровых устройств. Основными" требованиями при построении подобных устройств являются ультранизкое энергопотребление и минимально возможные размеры устройств. Реализация данных требований привело к необходимости разработки новых научно-обоснованных методов схемотехнического и функционально-логического проектирования,, которые позволят совместить на одном кристалле все функциональные цифровые блоки и аналоговую часть, причем основным требованием к конечному устройству будет минимальное энергопотребление.

С другой стороны, широкое распространение мобильных устройств привело к развитию протоколов беспроводной передаче данных. Однако возникла проблема обеспечения защиты информации, передаваемой в беспроводных сетях. Реализации защиты информации обычно основывается на базе криптографических функций. Однако использование стандартных криптографических функций, используемых на стационарных устройствах и в проводных сетях, требует от разрабатываемых устройств высокого быстродействия, что вступает в противоречие с требованием к пониженной потребляемой мощности СБИС [2].

Необходимость интеграция /средств защиты информации в разрабатываемые устройства обусловлена двумя ключевыми факторами. Во-первых, принципиальная уязвимость беспроводного канала связи для перехвата информации злоумышленника, что приводит к необходимости к реализации функции проверки подлинности передаваемых данных. Во-вторых, особенностью построения ультрапортативных устройств (микросенсоров и меток радиочастотной идентификации) является использование низкомощных радиопередатчиков, что приводит к необходимости реализации средств проверки целостности передаваемых данных [3].

Особенности построения портативных устройств с автономным источником - питания, рассмотренные выше, вызывает необходимость разработки новых методов проектирования СБИС, которые позволят интегрировать все требуемые функции на едином кристалле, обеспечив при этом низкую потребляемую мощность. Предлагаемые методы требуют разработки новых базовых элементов и СФ-блоков, которые позволят создать энергоэффективные «системы-на-кристалле» (СнК) [4].

Как показали исследования, проведенные на кафедре ПКИМС, решение задачи построения энергоэффективных блоков защиты информации с использованием стандартных маршрутов не является эффективным и не

позволяет добиться требуемых характеристик. Прежде всего это связано с особенностями наиболее популярных криптографических алгоритмов, аппаратная реализация которых будет требовать больших затрат площади конечного кристалла и роста потребляемой мощности устройства.

В рамках диссертационной работы автором исследовались вопросы построения блоков защиты информации для применения ультрапортативных устройствах, типа узлов беспроводных сенсорных сетей и меток радиочастотной идентификации. Для данного класса устройств ключевыми проблемами обеспечения защиты информации будет являться обеспечения функций проверки целостности и подлинности передаваемых данных [5]. Результатом работы должны быть практические разработки, подтверждающие обоснованность и достоверность методических подходов к построению соответствующей элементной базы современной микроэлектроники.

В основе методологии проектирования устройств защиты информации с ультранизкой потребляемой мощностью лежат методы проектирования малопотребляющих устройств, разработанные специальные базовые элементы, которые позволяют реализовать предложенные подходы и модификация существующих алгоритмов защиты информации. Использование предлагаемых подходов к снижению потребляемой мощности, позволит снизить энергопотребление конечного устройства. С другой стороны, важнейшим элементом методологии является анализ возможного потребления блока защиты информации на этапе выбора алгоритмов для реализации требуемых функций. Решению этих вопросов, а именно разработке методов проектирования энергоэффективных блоков защиты информации, автор посвятил исследования, проводившиеся с 2006 по 2013 год, итогом, которых является данная диссертация.

Выделим следующие основные факторы, влияющие на разработку энергоэффективных портативных устройств с автономным источником питания

с интегрированными функциями защиты информации, разрабатываемых на базе КМОП-технологии с топологическими размерами 130-45 нм:

1) Значительное уменьшение топологических размеров транзисторов и переход к нанометровым размерам приводит к существенному изменению энергетического баланса. Преобладающей становится статическая составляющая мощности, которая обусловлена ростом током токов утечки. Поэтому для построения энергоэффективных устройств на базе КМОП технологии требуется разработка новых подходов к проектированию СБИС, которые позволят учесть новые физические эффекты, связанные с переходом к нанометровым размерам.

2) Реализация методов для снижения статической составляющей мощности • 'приводит к необходимости изменения состава библиотек стандартных элементов. Важнейшей задачей будет являться построение новых базовых элементов, которые позволят реализовать новые подходы к проектированию энергоэффективных СБИС. С другой стороны, возникает проблема необходимости дополнительной характеризации существующих библиотек на работу с несколькими напряжениях питания и с несколькими пороговыми напряжениями.

3) Использование новых энергоэффективных библиотечных элементов значительно усложняет применение существующих маршрутов проектирования цифровых СБИСг Использование в составе одной системы элементов с разным пороговым напряжением и напряжением питания приводит к необходимости разработки новых и модификации существующих маршрутов, которые позволят учесть при моделировании схемы наличие новых базовых элементов.

4) Построение портативных устройств с ультранизкой потребляемой мощностью и с автономным источником питания существенно ужесточает требования по энергопотреблению конечных устройств. Такие требования сильно усложняют использование стандартных СБИС при построении узлов беспроводных сетей, и приводят к необходимости разработки заказных «систем

на кристалле», которые смогут обеспечить требуемую функциональность при минимальном энергопотреблении.

5) Требования к обеспечению защиты передаваемой информации при разработке портативных устройств, приводит к необходимости определения уровня защиты информации для разрабатываемых устройств и предварительной оценки энергоэффективности криптографических алгоритмов на этапе выбора решений для реализации поставленных задач. Кроме того, важно оценить возможность применения существующих алгоритмов для устройств с ультранизким энергопотреблением, и выработать критерии оценки алгоритмов с точки зрения энергоэффективности.

Таким образом состояние вопроса диктует следующую цель диссертационной работы:

Цель работы. Цель диссертационной работы состоит в исследовании и разработке методов проектирования энергоэффективных блоков защиты информации для цифровых СБИС.

Поставленная цель достигается путем решения следующих задач:

- систематизации существующих методов построения энергоэффективных СБИС и анализ их возможного применения для построения блоков защиты информации;

- разработки новых базовых элементов, которые позволят реализовать подходы к построению энергоэффективных СБИС;

- выработки критериев оценки энергоэффективности алгоритмов защиты информации, при условии сохранения уровня защиты;

- разработки маршрута проектирования энергоэффективных с интеграцией методов снижения мощности на основе кластерного изменения напряжения питания;

- разработки энергоэффективных СФ-блоков защиты информации на основе модулярной арифметики с сокращенной размерностью операндов

Научная новизна:

1. На основе анализа метода кластерного изменения напряжения питания выявлена необходимость разработки новых библиотечных элементов для его реализации и предложен маршрут проектирования цифровых СБИС с использованием данного метода. Показано, что данный маршрут может быть эффективно использован при создании энергоэффективных СБИС.

2. Предложен новый метод хэширования, основанный на использовании модулярной арифметики и сокращении размерности операндов, что позволяет обеспечить достаточный уровень защиты информации при низком энергопотреблении. На базе данного метода разработан аппаратный цифровой блок проверки целостности и подлинности данных.

3. На основании анализа структур алгоритмов, используемых функциональных примитивов и требований к объему памяти были предложены общие критерии для оценки энергоэффективности СФ-блоков защиты информации.

4. На основании результатов систематизации методов снижения потребляемой -мощности были разработаны специализированные базовые элементы (совмещенные триггеры-преобразователи). Показано, что разработанные элементы могут эффективно использоваться для интеграции метода кластерного изменения напряжения питания в маршрут проектирования энергоэффективных СБИС.

Практическая значимость и использование результатов работы

1) Предложенный подход к проектированию сложно-функциональных блоков защиты информации, заключающийся в использовании метода кластерного изменения напряжения питания и предварительной оценке алгоритмов защиты- информации с точки зрения энергопотребления конечного устройства, применен при разработке СФ-блоков проверки целостности и подлинности, передаваемых данных на основе функций хэширования.

Используемые методы позволили снизить энергопотребления на 11% и увеличить быстродействие на 23%.

2) Разработанный маршрут проектирования и алгоритмы защиты информации использованы при выполнении НИР на кафедре ПКИМС Национального исследовательского университета «МИЭТ»:

- «Разработка методов проектирования быстродействующей элементной базы и систем на кристалле с низкой потребляемой мощностью для перспективных устройств приема/передачи аналоговой и цифровой информации», шифр «И-2009- 1.1-219-005-009».

- «Исследование принципов создания наноразмерных МОП СБИС и "систем на кристалле" с ультранизкой потребляемой мощностью. НИР 1.864.08.

3) Разработанный маршрут проектирования использован при модернизации учебных дисциплин по программе магистерской подготовки «Проектирование энергосберегающих схем и систем» по направлению 210100

-«Электроника --и ' наноэлектроника» кафедры ПКИМС- Национального исследовательского университета «МИЭТ»:

- «Разработка энергосберегающих библиотечных элементов».

- «Проектирование энергосберегающих цифровых СБИС».

- «Организация маршрутов проектирования энергоэффективных схем и систем средствами САПР Зупорэуз».

Результаты работы внедрены в образовательный процесс в УНЦ «Бупорэуз» (МИЭТ), и были использованы в рамках выполнения НИР, проводимых на кафедре ПКИМС НИУ «МИЭТ», что подтверждено актами о внедрении. . ••

4) Получены охранные.документы на интеллектуальную собственность (2 свидетельства о регистрации программы для ЭВМ и 1 свидетельство о регистрации топологии ИМС).

Положения, выносимые на защиту

1. Специализированные библиотечные элементы (преобразователи уровня сигнала и триггеры-преобразователи) для реализации метода кластерного изменения напряжения питания (КИНП) в базисе технологической библиотеки 90 нм.

2. Цифровой СФ-блок защиты информации, реализующий функции проверки целостности и подлинности данных, с пониженным энергопотреблением на основе предложенного метода хэширования.

3. Метод полиномиального хэширования (МПХ), основанный на использовании модулярной арифметики и сокращении размерности операндов, что позволяет обеспечить достаточный уровень защиты информации при сниженной потребляемой мощности.

4. - Маршрут проектирования энергоэффективных цифровых СБИС на основе метода кластерного изменения напряжения питания с использованием специальных библиотечных элементов.

Апробация работы. Основные научные и практические результаты диссертации были представлены в докладах на следующих конференциях и семинарах: 15-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика -2008», Москва, МИЭТ, 2008; 16-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика---. •2009»,~ Москва, МИЭТ, 2009; 15-й международной научно-технической конференции •■студентов и аспирантов «Радиоэлектроника, электротехника и энергетика», Москва, МЭИ, 2009; Международной научно-технической конференции с элементами научной школы для молодежи. «Проектирование систем на кристалле: тенденции развития и проблемы», Москва, МИЭТ, 2010; 17-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика -2010», Москва, МИЭТ, 2010; XI Всероссийская научно-техническая

конференция молодых ученых и студентов с международным участием «Современные проблемы радиоэлектроники». Красноярск, СФУ, 2009; Седьмая Международная конференция «Автоматизация проектирования дискретных систем» (CAD DD'10) Минск: ОИПИ НАН Беларуси, 2010; Третья всероссийская школа-семинар студентов, аспирантов и молодых ученых по направлению «Наноинженерия». М.: МГТУ им. Баумана, 2010; 18-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика - 2011», Москва, МИЭТ, 2011; 19-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика - 2012», Москва, МИЭТ, 2012; 20-й всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика - 2012», Москва, МИЭТ, 2013.

Достоверность результатов обеспечивается математическим обоснованием полученных научных результатов, сравнением практических результатов с результатами моделирования, совпадением результатов, полученными различными авторами, включая зарубежных исследователей.

Публикации. Результаты диссертационной работы отражены в 21 научных работах, в том числе 8 статьях в периодических печатных изданиях, 7 из которых опубликованы в журналах, входящих в перечень ВАК, тезисах 13 докладов на научно-технических конференциях

Автор принял участие в выполнении двух НИОКР, имеющих отношение к тематике данной диссертации. Основное содержание диссертации отражено в публикациях, приведенных в списке литературы. Личный вклад автора в работы, опубликованные в соавторстве, состоит в проведении аналитических исследований и анализе полученных результатов.

Объем и структура работы. Диссертация состоит из введения, четырех глав, заключения, изложенных на 134 страницах, включая 54 рисунка и список литературы, включающий в себя 87 наименований.

Глава 1. Исследование подходов к оптимизации энергопотребления КМОП СБИС

1.1 Классификация источников энергопотребления в КМОП СБИС

Общее энергопотребление КМОП СБИС складывается из двух составляющих - статической и динамической мощности. Динамическая мощность определяется энергопотреблением ИС в активном состоянии, т.е. когда схема переключается. Статическая мощность - определяется энергией, потребляемой микросхемой в режиме ожидания. 1.1.1 Динамическая составляющая мощности

Динамическая составляющая потребляемой мощности, в свою очередь, также определяется двумя составляющими - зарядка/разрядка нагрузочных емкостей и сквозными токами.

Заряд/разряд емкостей

Основным источником энергопотребления при переключении устройства является - зарядка и разрядка паразитных емкостей вентиля [6]. На рис. 1.1 приведены основные емкостные составляющие КМОП-инвертора

V

с№

—С

'с1Ьр

оит

'с!др

'с!дп

Ч 9-

'с1Ьп

Рис. 1.1. Паразитные емкости КМОП вентиля Основными составляющими паразитных емкостей являются:

СёР и СёП - емкости затворов вентилей, подключенных к выходу,

- емкость межсоединений, Сс1ьР, Саьп, С(1ёР, - диффузионные емкости на стоках транзисторов.

Обычно все эти паразитные емкости представляют в виде эквивалентной - нагрузочной емкости Сь подключенной к выходу вентиля.

Общая динамическая мощность вентиля состоит из двух компонент -энергия, потребляемая при переключения из «О» в «1» (фаза зарядки), и энергии при переключении из «1» в «О» (фаза разряда). При каждом переключении из «О» в «1» половина энергии затрачивается на изменение разности потенциалов на нагрузочной емкости АУ, а вторая половина рассеивается на рМОП и межсоединениях. Аналогично при переключении из «1» в «О» рассеивается энергия на емкости Сь и в пМОП транзисторе. Таким образом, в простейшем случае КМОП - инвертера, где ДУ = Уйй, динамическую мощность можно представить в виде:

Рауп = Сь-Ум2 где Сь— нагрузочная емкость; Уси - напряжение питания; а -переключательная активность; f - тактовая частота.

Необходимо отметить, что динамическая мощность не зависит от геометрических параметров транзистора, является функцией переключательной активности, нагрузочной емкости, напряжения питания и частоты.

Основной проблемой при оценке динамической мощности является определение переключательной активности блока. В большинстве случаев

переключательная.....активность определяется при моделировании

высокоуровневого описания схемы исходя из следующих определений:

1) Статическая вероятность (8Р0 или 8Р1). Это вероятность того, что сигнал находится в стабильном состоянии. Выражается такая вероятность числом от 0 до 1. Статическая вероятность определяется во время

моделирования как отношение времени нахождения сигнала в стабильном состоянии ко всему времени моделирования. Например, 8Р1 = 0.70, означает то, что сигнал находился в состоянии 1 70 % времени моделирования.

2) Частота переключений. Определяется как количество переключений из 0в1ииз1в0за единицу времени для всей схемы.

Мощность сквозных токов

Сквозные токи протекают между шинами «земли» и «питания» в небольшой период времени при переключении КМОП - вентиля, когда одновременно открыты пМОП и рМОП транзисторы.

Для КМОП - инвертера, мощность сквозных токов можно представить в следующем виде:

Рс1р = С5с ■ У,и ■/, (1.2), где С5с - эффективная емкость; - напряжение питания; / - тактовая частота.

Очевидно, что величина данной составляющей в основном зависит от соотношения времен переключения входа и выхода, что, в свою очередь, напрямую связано с нагрузочной емкостью. Если нагрузочная емкость мала, то основной составляющей-- динамической мощности являются мощность сквозных токов, а для очень больших нагрузочных емкостей вся энергия тратиться на перезарядку емкостей.

Величина сквозного тока определяется токами насыщения МОП -транзисторов и, следовательно, зависит от размеров транзисторов. Кроме того, сквозной ток также сильно зависит от отношения времён нарастания/спада входного и выходного сигналов. Чем больше время нарастания/спада сигнала, тем дольше будет протекать омический ток между шинами питания и «земли», усредненный сквозной ток возрастет.

Рассеяние энергии, обусловленное протеканием сквозных токов, минимизируется путем согласования времен нарастания/спада входных и выходных сигналов. На уровне всей схемы это означает, что времена нараста-

ния/спада всех сигналов необходимо поддерживать постоянными в определенном диапазоне [7].

Сделав одинаковыми времена нарастания сигнала на входе и выходе блока, мы не только получим оптимальное решение для данного блока, но и сможем ограничивать сквозные токи по всей схеме. Когда емкость нагрузки слишком мала для данного размера инвертора, основной вклад в потребляемую мощность дает сквозной ток. Для очень больших значений емкости все рассеяние энергии связано с зарядкой и разрядкой емкости нагрузки. Если сделать времена нарастания/спада сигнала на входе и выходе блока одинаковыми, то большая часть потребляемой мощности будет относиться к динамической составляющей, а со сквозными токами будет связана лишь малая часть потребляемой мощности (меньше 10%).

1.1.2 Статическая составляющая мощности

В статическом режиме отсутствуют переходные процессы, поэтому причиной энергопотребления являются процессы протекания токов через элементы ИС (за исключением токов короткого замыкания, которые относятся к динамической составляющей мощности, так как они протекают при переходных процессах). В идеальном случае в статическом режиме токи должны полностью отсутствовать, а потребление мощности равняться нулю. Однако на практике к потерям энергии приводят токи, возникающие вследствие туннельных эффектов - токи утечек через закрытые р-n переходы. Особенно остро эта проблема встаёт при уменьшении топологических размеров элементов ИС, когда эффекты преодоления носителями зарядов потенциальных барьеров начинают играть все большую роль. Данная проблема - основное препятствие на пути дальнейшего уменьшения размеров элементов ИС Выражение для мощности токов утечки имеет следующий вид:

Рleak ~ ¡leak ^(1.3), где Iieak - суммарный ток утечки.

Существует три основных вида токов утечки, в сумме составляющих общий ток Ijeak- (1) диодный ток утечки через обратно смещенный р-n переход сток/подложка, (2) ток через закрытый канал транзистора (подпороговая утечка) и (3) туннельный ток через подзатворный диэлектрик [8].

Подпороговые токи утечки

Подпороговые токи утечки возникают, когда один из транзисторов выключен, а через включенный транзистор протекает ток заряжающий (или разряжающий) нагрузочную емкость. Величина подпорогового тока является функцией технологического процесса, топологических размеров прибора (ширины (W) и длины (L) канала), и напряжения питания. Ключевым параметром, зависящим от технологического процесса является пороговое напряжение (Vth)- Уменьшение Утн экспоненциально повышает подпороговый ток, который оказывается пропорциональным VDs или, что эквивалентно, Vdd [9].

ТХ/ VGS~VTH _YM_

ISUB = M'Cox-ç2T-' j-e -(1-е ^ ) (1.4),

где W и L - размеры транзистора, срт - температурный потенциал, п -поправочный коэффициент, Сох - емкость подзатворного диэлектрика, ц. -подвижность . носителей заряда, Vos - напряжение затвор-исток, VTH -пороговое напряжение, Vds - напряжение сток-исток.

Для Vth = 0.8 В величина тока отдельного прибора имеет порядок 1 пА. В среднем один из двух транзисторов имеет все необходимые условия для возникновения подпороговой утечки. Для 2 миллионов транзисторов в чипе их суммарный подпороговый ток будет иметь порядок 1 мкА, которые при напряжении питания 3.3 В дают менее 10 мкВт мощности. Это незначительно по сравнению с мощностью динамического переключения во время работы процессора. Но это становится важным при уменьшении порога достижимой рассеиваемой мощности в режиме бездействия.

Тем не менее, так как технологические процессы продолжают развиваться, максимальные рабочие напряжения уменьшаются, и снижение Утн

требует поддерживать рациональное напряжение, управляющее затвором. Это особенно важно для процессов, предназначенных специально для высокопроизводительных ИС. Для примера, 0.35 мкм технологический процесс со значением Утн = 0,35 В имеет ток утечки порядка 10 нА на каждый транзистор. Это дает 10 мА тока утечки для всего 2-х миллионного транзисторного чипа, и может составлять значительную долю рассеивания мощности в очень маломощных чипах.

Отметим, что подпороговый ток экспоненциально зависит от напряжения питания. Таким образом, ток может быть минимизирован уменьшением напряжения питания источника. Кроме того, подпороговая утечка прямо пропорционально зависит от размеров прибора, и ее можно снизить, уменьшая размеры транзистора.

Ток через обратносмещенный р-п переход

Ток утечки на переходе исток/подложка возникает при подаче на исток высокого потенциала, при том что на затворе потенциал нулевой и транзистор закрыт. Другими словами, диод исток/подложка получает обратное смещение, приводящее к протеканию малого тока утечки.

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Список литературы диссертационного исследования кандидат наук Коршунов, Андрей Владимирович, 2013 год

Список литературы

1. Nebel W., Mermet J. Low Power Design in Deep Submicron Electronics -Springer, 1997.-p. 2-7.

2. Pedram M., Rabaey J.M. Power Aware Design Methodologies - Springer, 200-p. 181-192.

3. Ilyas M., Mahgoub I. Handbook of Sensor Networks: Compact Wireless and Wired Sensing Systems - New-York: CRC, 2004. p.813-822.

4. Дьяконов B.M., Коршунов A.B. Подходы к снижению статической мощности в беспроводных интеллектуальных датчиках // «Естественные и технические науки» №2. М.: Спутник+, 2009., стр. 428-432

5. Di Pietro R., Michiardi P., Molva R. Confidentiality and integrity for data aggregation in WSN using peer monitoring //Security and Communication Networks. - 2009. - T. 2. - №. 2.-C. 181-194.

6. Chandrakasan A., Brodersen R.W. Low-power Digital CMOS Design. -Kluwer Academic Publishers, 1995. - p. 55-67.

7. Rabaey J:, Chandrakasan A., Nikolic B. Digital Integrated Circuits, 2nd ed., Prentice Hall, 2003 - p. 212-218.

8. Narendra S.G., Chandrakasan A. Leakage in Nanometer CMOS Technologies. - Springer, 2005. - p. 3-11.

9. Device scaling limits of SiMOSFETs and their application dependencies // Frank D., Dennard R., Nowak E., Solomon P., Taur Y., Wong P. / "Proceedings of the IEEE" vol. 89 (3), 2001. - P. 259 -288.

10. International Technology Roadmap for Semiconductors (ITRS), http://www.itrs.net/.........""

11. Power Trends in the Electronics Industry - Thermal Impacts / Schmidt R. // IBM Austin Conference on Energy-Efficient Design 2003 (ACEED03)

12. Rabaey J. M. Low power design essentials. - Springer, 2009. - p. 2-22.

13. Power Hungry? Strategies to Trim Your Chip's Appetite. Part 1: Overview, Analysis, Architectural Solutions // Waldo В., Stringfellow D., Pedicone J., Maben G. / Synopsys, 2007, www.synopsys.com.

14. Bernstein K., Rohrer N.J. «SOI Circuit Design Concepts». - Kluwer , 2000. -p.13-23.

15. Colinge J. P. (ed.). FinFETs and other multi-gate transistors. - Springer, 2008. -p. 50-56.

16. Rabaey J.M., Pedram M. Low Power Design Methodologies - Springer, 1995.-p. 162-170.

17. Im H. et al. VTCMOS characteristics and its optimum conditions predicted by a compact analytical model //Very Large Scale Integration (VLSI) Systems, IEEE Transactions on. - 2003. - Т. 11. - №. 5. - C. 755-761.

18. Soeleman H., Roy K., Paul B. Robust ultra-low power sub-threshold DTMOS logic //Proceedings of the 2000 international symposium on Low power electronics and design. - ACM, 2000. - C. 25-30.

19. Беспалов В.А., Дьяконов B.M., Коршунов A.B. «Методы уменьшения статической мощности, потребляемой наноразмерными СБИС». Успехи современной радиоэлектроники №10. М.: Радиотехника, 2012. С. 36-42.

20. Borah М., Owens R. М., Irwin М. J. Transistor sizing for low power CMOS circuits //Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on. - 1996. -T. 15. -№. 6. -C. 665-671.

21. Yeo K.-S., Roy K. Low-Voltage Low-Power Subsystems - McGraw Hill, 2004.-p. 41-48.

22. Tsui C.-Y., Pedram M. Power-efficient technology decomposition and mapping under extended power consumption model // "IEEE Trans, on CAD" vol. 13, No. 9, 1994.

23. Logic extraction and factorization for low power / Iman S., Pedram M. // Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 1995. -pp. 248-253.

24. Utilizing surplus timing for power reduction / M. Hamada, Y. Ootaguro, T. Kuroda // CICC'2001. - p. 89-95.

25. Precomputation-based sequential logic optimization for low power // M. Alidima, J. Monteiro, S. Devadas, A. Ghosh, and M. Papaefthimiou / IEEE Trans, on VLSI, Vol. 2, No. 4, pp. 426-435, Dec. 1994.

26. Technology mapping for low-power in logic synthesis // V. Tiwari, P. Ashar, and S. Malik / Integration the VLSI J., July 1996.

27. Wu Q., Pedram M., Wu X. Clock-gating and its application to low power design of sequential circuits //Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on. - 2000. - T. 47. - №. 3. - C. 415-420.

28. Chandrakasan A. P., Sheng S., Brodersen R. W. Low-power CMOS digital design //IEICE Transactions on Electronics. - 1992. - T. 75. - №. 4. - C. 371-382.

29. Burd T. D. et al. A dynamic voltage scaled microprocessor system//Solid-State Circuits, IEEE Journal of. -2000. -T. 35. -№. 11. -C. 1571-1580.

30. Kim W. et al. System level analysis of fast, per-core DVFS using on-chip switching regulators //High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on. - IEEE, 2008. - C. 123-134.

31. Дьяконов B.M., Коршунов A.B. Оптимизация потребляемой мощности для блоков защиты информации в беспроводных микросенсорных сетях // «Естественные-и-технические науки» № М.: Спутник+, 2009., стр. 423-427

32. Henkel J., Lekatsas Н. А ВС: adaptive address bus coding for low power deep sub-micron designs // Proceedings of the 38th annual Design Automation Conference, 2001. - pp. 744 - 749

33. Low Power Methodology Manual For System-on-Chip Design // M. Keating, D. Flynn, R. Aitken, A. Gibbons, K. Shi. - Springer, 2007. - p. 14-21.

34. Cadence Low Power Reference Flow User Guide for the IBM-Chartered 90nm Process / Cadence, 2006, www.cadence.com.

35. Schaeffer G., Banerji R., Gupta H. Driver waveform computation for timing analysis with multiple voltage threshold driver models //Design Automation Conference, 2008. DAC 2008. 45th ACM/IEEE. - IEEE, 2008. - C. 425-428.

36. Balamurugan G. et al. A scalable 5-15 Gbps, 14-75 mW low-power I/O transceiver in 65 nm CMOS //Solid-State Circuits, IEEE Journal of. - 2008. - T. 43. -№. 4. -C. 1010-1019.

37. Kitahara T. et al. Area-efficient selective multi-threshold CMOS design methodology for standby leakage power reduction //Design, Automation and Test in Europe, 2005. Proceedings. - IEEE, 2005. - C. 646-647.

38. Usami K., Horowitz M. Clustered voltage scaling technique for low-power design //Proceedings of the 1995 international symposium on Low power design. -ACM, 1995.-C. 3-8.

39. Ishihara F..,.Sheikh F., Nikolic B. Level conversion for dual-supply systems // International Symposium on Low Power Electronics and Design, pp. 164 -167, Aug. 2003.

40. Chen C., Srivastava A., Sarrafzadeh M. On gate level power optimization using dual-supply voltages // IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, pp. 616-29, 2001.

41. J.-M. Chang and M. Pedram, "Energy minimization using multiple supply voltages," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.5, pp. 436-443, 1997.

42. Diril A. U. et al. Level-shifter free design of low power dual supply voltage CMOS circuits using dual threshold voltages //Very Large Scale Integration (VLSI) Systems, IEEE Transactions on. - 2005. - T. 13. - №. 9. - C. 1103-1107.

43. Kulkarni S. H., Srivastava A. N., Sylvester D. A new algorithm for improved VDD assignment in low power dual VDD systems //Low Power Electronics and-Desigii, 2004. ISLPED'04. Proceedings of the 2004 International Symposium on. - IEEE, 2004. - C. 200-205.

44. Puri R. et al. Pushing ASIC performance in a power envelope //Proceedings of the 40th annual Design Automation Conference. - ACM, 2003. - C. 788-793.

45. V. Stojanovic, et al., «Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems», IEEE Journal of Solid-State Circuits, vol. 34, pp. 536-548, April 1999.

46. Henzler S. et al. Fast power-efficient circuit-block switch-off scheme //Electronics Letters. - 2004. - T. 40. - №. 2. - C. 103-104.

47. Henzler S. Power management of digital circuits in deep sub-micron CMOS technologies. - Springer, 2007. -p.26

48. Usami K. et al. Automated low-power technique exploiting multiple supply voltages applied to a media processor //Solid-State Circuits, IEEE Journal of. - 1998. - T. 33. - №. 3. - C. 463--472.. ■ •

49. Hamid Mahmoodi-Meimand and Kaushik Roy - «Dual-Edge Triggered Level Converting Flip-Flops», School of Electrical and Computer Engineering, Purdue University West Lafayette, IN, USA.

50. Bisdounis L. Short-circuit energy dissipation model for sub-lOOnm CMOS buffers //Electronics, Circuits, and Systems (ICECS), 2010 17th IEEE International Conference on. - IEEE, 2010. - C. 615-618.

51. He P., Cui Q., Guo X. Efficient solar power scavenging and utilization in mobile electronics system //.Green Circuits and Systems (ICGCS), 2010 International Conference on. - IEEE, 2010. - C. 641-645.

52. Otis B., Rabaey J. Ultra-Low Power Wireless Technologies for Sensor Networks - New-York: Springer, 2007, 184 p.

53. Bennett F. et al. "Piconet: Embedded mobile networking," IEEE Personal Communications Magazine, vol. 4, no. 5, pp. 8-15, Oct. 1997

54. Enz C., Scolari N., Yodprasit U. Ultra low-power radio design for wireless sensor networks //Radio-Frequency Integration Technology: Integrated Circuits for Wideband Communication and Wireless Sensor Networks, 2005. Proceedings. 2005 IEEE International Workshop on. - IEEE, 2005. - C. 1-17.

55. Pillai V. et al. An ultra-low-power long range battery/passive RFID tag for UHF and microwave bands with a current consumption of 700 nA at 1.5 V //Circuits and Systems I: Regular Papers, IEEE Transactions on. - 2007. - T. 54. - №. 7. - C. 1500-1512.

56. Sarma S., Brock D. L., Ashton K. The networked physical world //Auto-ID Center White Paper MIT-AUTOID-WH-OOl. - 2000.

57. Мок К. К., Chan С. F. А 13.56 MHz adiabatic smart card/RFID //ASIC, 2007. ASICON'07. 7th International Conference on. - IEEE, 2007. - C. 874-877.

58. Bilstrup U., Wiberg P. A. An architecture comparison between a wireless sensor network and an active RFID system //Local Computer Networks, 2004. 29th Annual IEEE International Conference on. - IEEE, 2004. - C. 583-584.

59. Крупский A.A., Тупотилов Д.И. Вопросы применения распределенных сенсорных сетей в приложении к задачам противодействия террористическим угрозам // «Вопросы радиоэлектроники», сер. Электронная вычислительная техника, вып. 1, 2009, стр. 86-92.

60. Фергюсон Н., Шнайер Б. Практическая криптография. - М. и др. : ИД Вильяме, 2005.

61. UMAC: Fast and secure message authentication / Black J. [et al.]. // Lecture Notes in Computer Science (LNCS) vol. 1666 - Springer-Verlag, 1999 - p. 216-233.

62. Rivest R. L. et al. RFC 1321: The MD5 message-digest algorithm. - 1992.

63. Markku-Juhani O. Saarinen. Cryptanalysis of block ciphers based on SHA-1 and MD5.--In -Thomas Johansson, editor, Fast Software Encryption, 10th International Workshop, FSE -2003, volume 2887 of Lecture Notes in Computer Science (LNCS), pages 36-44, Feb 2003.

64. Lim С. H., Korkishko Т. mCrypton-A lightweight block cipher for security of low-cost RFID tags and Sensors //Information Security Applications. - Springer Berlin Heidelberg, 2006. - C. 243-258.

65. Wander A. S. et al. Energy analysis of public-key cryptography for wireless sensor networks //Pervasive Computing and Communications, 2005. PerCom 2005. Third IEEE International Conference on. - IEEE, 2005. - C. 324-328.

66. Naslund M., Shparlinski I. E., Whyte W. On the bit security of NTRUEncrypt //Public Key Cryptography—PKC 2003. - Springer Berlin Heidelberg, 2002. - C. 62-70.

67. Gaubatz G., Kaps J. P., Sunar B. Public key cryptography in sensor networks—revisited //Security in Ad-hoc and Sensor Networks. - Springer Berlin Heidelberg, 2005.-C. 2-18.

68. Hashmi I., Babu H. M. H. An efficient design of a reversible barrel shifter //VLSI Design, 2010. VLSID'10. 23rd International Conference on. - IEEE, 2010. -C. 93-98.

69. Hameed R. et al. Understanding sources of inefficiency in general-purpose chips //ISCA. - 2010. - T. 10. - C. 37-47.

70. Parhami B. Computer arithmetic: algorithms and hardware designs. -Oxford University Press, Inc., 2009.

71. Toldinas J. et al. Energy Efficiency Comparison with Cipher Strength of AES and Rijndael Cryptographic Algorithms in Mobile Devices //Electronics and Electrical Engineering. - 2011. - T. 108. -№. 2. - С. 11-14.

72. Mathur G. et al. Ultra-low power data storage for sensor networks //ACM Transactions on Sensor Networks (TOSN). - 2009. - T. 5. - №. 4. - C. 33.

73. Hu J. et al. Design and implementation of intelligent RFID security authentication system //RFID-Technology and Applications (RFID-TA), 2010 IEEE International Conference on. - IEEE, 2010. - C. 286-290.

74. Hill J, Horton M, Kling R.. The platforms enabling wireless sensor networks. Commun. ACM, 47(6):41^16, Jun 2004.

75. Коршунов A.B. Оптимизация энергопотребления вычислительных блоков КМОП СБИС // 15-я научно-техническая конф. «Микроэлектроника и информатика - 2008». Тезисы докладов. М.:МИЭТ, 2008., стр.75

76. Ramkumar В., Kittur H. M. Low-power and area-efficient carry select adder //Very Large Scale Integration (VLSI) Systems, IEEE Transactions on. - 2012. -T. 20. - №. 2.-C. 371-375.

77. Uma R. et al. Area, Delay and Power Comparison of Adder Topologies //International Journal of VLSI and Communication Systems. - 2012. - T. 254.

78. Ramanathan P., Vanathi P. T. A novel logarithmic prefix adder with minimized power delay product //Journal of Scientific & Industrial Research. - 2010. -T. 69.-C. 17-20.

79. Fattah M. et al. A high throughput low power FIFO used for GALS NoC buffers //VLSI (ISVLSI), 2010 IEEE Computer Society Annual Symposium on. -IEEE, 2010.-C. 333-338.

80. H. Krawczyk. LFSR-based hashing and authentication. In Advances in Cryptology - Crypto'94, volume 839 of Lecture Notes in Computer Science (LNCS), pages 129-139. Springer-Verlag, 1994.

81. Handschuh H. SHA-0, SHA-1, SHA-2 (Secure Hash Algorithm) //Encyclopedia of Cryptography and Security. - Springer US, 2011. - С. 1190-1193.

82. ГОСТ P 34.11-94. Криптографическая защита информации. Функция хэширования.

83. Kaps J. P., Yiiksel К., Sunar В. Energy scalable universal hashing //Computers, IEEE Transactions on. - 2005. - T. 54. - №. 12. - C. 1484-1495.

84. Коршунов A.B., Дьяконов B.M. Анализ энергоэффективности алгоритмов хэширования для блоков передачи данных в беспроводных сетях датчиков // Современные проблемы радиоэлектроники : сб. науч. тр. / науч. ред. : А. И. Громыко, А. В. Сарафанов ; отв. за вып. : А. А. Левицкий. - Красноярск : ИПК СФУ, 2009. с. 257-260.

85. Дьяконов В.М., Коршунов А.В., Стахин В.Г. Методы построения энергоэффективных блоков проверки целостности и подлинности данных для узлов беспроводных сетей // «Вопросы радиоэлектроники», №2. М.: ЦНИИ Электроника, 2010. С. 102-НО.

86. Дьяконов В.М., Коршунов A.B., Мариныч A.B. «Методы оптимизации динамической мощности для СБИС и «систем на кристалле». Электромагнитные волны и электронные системы, №3. М.:Радиотехника, 2010. С. 33-38.

87. Дьяконов В.М., Волобуев П.С., Коршунов A.B. Методы создания наноразмерных СБИС и «систем на кристалле» с пониженной статической мощностью // Известия Самарского научного центра Российской академии наук, т. 13, №4(4). Самара: 2011, с. 1075-1079.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.