Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования тема диссертации и автореферата по ВАК РФ 05.27.01, кандидат физико-математических наук Ивин, Владимир Владимирович

  • Ивин, Владимир Владимирович
  • кандидат физико-математических науккандидат физико-математических наук
  • 2000, Москва
  • Специальность ВАК РФ05.27.01
  • Количество страниц 146
Ивин, Владимир Владимирович. Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования: дис. кандидат физико-математических наук: 05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах. Москва. 2000. 146 с.

Оглавление диссертации кандидат физико-математических наук Ивин, Владимир Владимирович

Оглавление.

Введение.

Глава 1. Анализ возможностей математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов.

§1.1 Предварительный анализ возможностей технологического процесса.

§1.1.1 Важнейшие характеристики фотолитографического процесса.

§1.1.2 Пример: сравнение литографических процессов с использованием линий i и g спектра ртути.

§1.2 Выбор оптимальных параметров технологического процесса.

§1.2.1 Требования, предъявляемые к защитному рельефу.

§ 1.2.2 Подбор толщины резиста и антиотражающего покрытия.

§1.2.3 Определение оптимальных значений расфокусировки и дозы экспозиции; доступная глубина фокуса и допустимый разброс по дозе.

§1.2.4 Нахождение оптимальных значений числовой апертуры и степени когерентности проекционной системы.

§1.3 Оптимальная коррекция фотошаблона.

§1.4 Основные трудности при использовании математического моделирования.

§ 1.4.1 Вопросы точности и скорости счета.

§1.4.2 Определение модельных параметров.

Выводы.

Глава 2. Математические модели современного фотолитографического процесса.

§2.1 Формирование оптического изображения проекционной системой.

§2.1.1 Основные характеристики современных проекционных систем.

§2.1.2 Порядок расчета оптического изображения.

§2.1.3 Вопросы применимости используемой модели.

§2.2 Формирование скрытого изображения в резисте.

§2.2.1 Фотохимические превращения в резисте.

§2.2.2 Порядок расчета скрытого изображения.

§2.2.3 Распространение излучения через вещество резиста.

§2.2.4 Послеэкспозиционный отжиг резиста.

§2.2.5 Вопросы применимости используемых моделей.

§2.3 Эволюция проявленного профиля резиста; сравнение результатов расчета с экспериментом.

§2.4 Определение модельных параметров резиста.

§2.5 Использование упрощенных моделей.

Выводы.

Глава 3. Исследование возможностей оптической литографии в области критических размеров менее 0.2 мкм.

§3.1 Предмет и условия проведения исследования.

§3.1.1 Исследуемые характеристики фотолитографических процессов.

§3.1.2 Используемые модели и выбор значений модельных параметров.

§3.2 Исследование возможностей фотолитографического процесса с использованием излучения KrF лазера (длина волны 248 нм).

§3.2.1 Разрешение и глубина фокуса Процесса 248.

§3.2.2 Предельные возможности адаптации Процесса

§3.3 Исследование возможностей фотолитографического процесса с использованием излучения ArF лазера (длина волны 193 нм).

§3.3.1 Разрешение и глубина фокуса Процесса 193.

§3.3.2 Предельные возможности адаптации Процесса 193.

§3.4 Исследование возможностей фотолитографического процесса с использованием излучения F2 лазера (длина волны 157 нм).

§3.4.1 Разрешение Процесса 157.

§3.4.2 Предельные возможности адаптации Процесса 157.

§3.5 Сравнение возможностей исследуемых фотолитографических процессов в области суб-0.2мкм критических размеров.

Выводы.

Рекомендованный список диссертаций по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Введение диссертации (часть автореферата) на тему «Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования»

Значительный прогресс в технологиях разработки и производства интегральных микросхем (ИС) сделал доступными для повседневного делового и домашнего использования вычислительные мощности, еще 10 лет назад задействованные только в критически важных проектах оборонного значения [1]. Появление таких новых сфер использования персональных вычислительных систем как мультимедиа, виртуальная реальность, глобальные сети коммуникации, широкое распространение объектных и компонентных технологий создания программных продуктов вынуждают производителей ИС искать пути дальнейшего повышения степени их интеграции как для увеличении общей производительности отдельной системы, так и для наделения ее дополнительными функциональными возможностями. При этом миниатюризация размеров структурных элементов остается одним из основных методов повышения степени интеграции ИС помимо увеличения рабочей площади кристалла и совершенствования методов компоновки элементов (см. следующую таблицу).

Тенденции увеличения степени интеграции и уменьшения критических размеров ИС при переходе к суб-0.5мкм проектным нормам [2]

Год 1992 1995 1998 2001 2004 2007 2010

Степень интегр. • Память, бит 16М 64М 256М 1Г 4Г 16Г 64Г

• Логика, транз./ кв. см 2М 4М 7М 13М 25М 50М 90М

Минимальный размер, мкм 0.5 0.35 0.25 0.18 0.13 0.1 0.07

Как можно заметить из вышеприведенной таблицы, степень интеграции микросхем памяти увеличивается в четыре раза, а логических - примерно в два раза каждые три года, и для сохранения подобной тенденции в ближайшем будущем необходимо дальнейшее уменьшение проектных норм вплоть до размеров менее 0.1 мкм. При этом оптическая литография по-прежнему остается основной технологией, используемой при воспроизведения топологии ИС на кристалле, в настоящий момент - с минимальными размерами структурных элементов до 0.18 мкм. В этой связи актуальным является вопрос о предельных возможностях использования оптической литографии, так как дальнейшая адаптация данной технологии до размеров менее 0.1 мкм представляется едва ли осуществимой [3]. В то же время, для адекватного планирования всего цикла научно-исследовательских и опытно-конструкторских работ (НИОКР) требуются четкое понимание принципиальных границ адаптируемости оптической литографии, за которыми неизбежен переход к одной из альтернативных литографических технологий.

Хотя для замены оптической литографии ранее было предложено несколько кандидатов [4], в настоящий момент круг ее возможных преемников сузился фактически до следующих двух [5]:

1) Проекционная литография с использованием крайне /англ. "extreme"/ ультрафиолетового (КУФ), также называемого мягким рентгеновским /англ. "soft X-гау'7 [6], излучения и отражательной оптики [7];

2) Техника SCALPEL - разновидность проекционной электронной литографии с использованием углового отбора рассеянных электронов [8].

Следует отметить, что поскольку обе вышеуказанные технологии потенциально обладают разрешающей способностью в несколько десятков нанометров, то они представляют собой альтернативу оптической литографии и в области критических размеров 0.1. .0.2 мкм. Кроме того, подобным же разрешением обладает и хорошо известная электронно-лучевая (ЭЛ) литография [9], которая в силу недостаточно высокой производительности в основном используется для создания опытных образцов новых поколений полупроводниковых приборов и устройств, а также отдельных, наиболее критичных участков топологии ИС до момента полной адаптации основной (фотолитографической) технологии к новым проектным нормам. Другое применение ЭЛ литографии связано с созданием шаблонов для других литографических технологий, включая все упомянутые выше.

Что касается собственно оптической литографии в суб-0.2мкм диапазоне критических размеров, то здесь наибольшие перспективы имеют фотолитографические процессы с использованием излучения KrF (длина волны 248 нм) и ArF (193 нм) лазера, химически усиленных фоторезистов и одной из техник повышения качества оптического изображения (см. напр. [10]). Так, фотолитографический процесс с использованием излучения KrF лазера уже применяется в производстве микросхем с критическими размерами в диапазоне 0.18.0.25 мкм. Существенный прогресс достигнут за последние несколько лет и в адаптации процесса с использованием ArF лазера к промышленным условиям, и с его помощью предполагается достичь разрешающей способности вплоть до 0.1.0.13 мкм. В последнее время также усилился интерес к использованию излучения F2 лазера с длиной волны 157 нм, с помощью которого предполагается добиться уменьшения проектных норм вплоть до 90 нм [11]. Однако, внедрение последнего процесса в производство ИС сопряжено с решением ряда довольно сложных проблем технического характера, например, с необходимостью использования одновременно преломляющей и отражательной оптики в конструкции проекционной системы. Как следствие, необходимые материальные и временные затраты на разработку и адаптацию указанного фотолитографического процесса могут оказаться сопоставимыми с предполагаемыми затратами в области альтернативных литографических технологий.

Принимая во внимание все вышесказанное, целью данной работы было определение возможностей адаптации оптической литографии в области критических размеров менее 0.2 мкм. В конечном счете, проводимое исследование должно было помочь очертить круг поколений ИС в области суб-0.2мкм критических размеров, производство которых представляется возможным в каждом из фотолитографических процессов, упомянутых выше. Кроме того, сравнительный анализ характеристик всех рассматриваемых процессов должен был позволить установить примерные ниши их будущего использования с точки зрения соотношения между ростом ожидаемых затрат и достигаемым улучшением при переходе от одного процесса к другому.

В качестве метода проведения вьппеобозначенного исследования было решено использовать математическое моделирование реального фотолитографического процесса. Данный выбор был обусловлен тем обстоятельством, что проведение полного цикла НИОКР в области проектирования и промышленного производства современных ИС уже невозможно без использования адекватных средств математического моделирования (СММ) соответствующих процессов и устройств, в основном, по соображениям стоимости конечного продукта и времени его выхода на рынок [12]. Кроме того, исследование возможностей какого-либо литографического процесса зачастую осложняется отсутствием на момент проведения самого исследования промышленных установок экспонирования. Как следствие, на этапе предварительного исследования различных литографических технологий возрастает роль вычислительного эксперимента как одного из основных инструментов получения численных характеристик исследуемого литографического процесса.

В этой связи стоит отметить, что наибольший прогресс в использовании математического моделирования был достигнут именно в фотолитографии, где имеется большое количество коммерчески доступных программ, моделирующих как весь фотолитографический процесс, так и отдельные его аспекты [13, 14]. Как правило, стоимость оснащения одного рабочего места наиболее полнофункциональными из подобных программ составляет несколько тысяч долларов США, что делает затруднительным их использование в исследовательских, некоммерческих проектах. Вместе с тем, в лаборатории Математического моделирования физико-технологических процессов микроэлектроники (ЛММФТПМ) Физико-технологического института РАН исследования в области математического моделирования различных литографических технологий проводились с начала 80-х гг., и значительный опыт был накоплен в том числе и в области моделирования оптической литографии [15]. В частности, разработанный в ЛММФТПМ программный комплекс по моделированию оптической литографии (программы PHOTO-LAMZ, LAZER

LAMZ и MASK-LAMZ [16]) ни в чем не уступал, а во многом и превосходил возможности появившихся тогда же зарубежных аналогов SAMPLE и PROLITH [17].

Однако, вместе с продолжающимся прогрессом в области фотолитографических технологий, разработанные ранее в ЛММФТПМ модели и методы стали нуждаться в дальнейшем развитии и усовершенствовании. Поэтому необходимым условием для проведения вышеобозначенного исследования была разработка и верификация адекватных физических моделей и математических методов, предназначенных для моделирования современного фотолитографического процесса. Соответственно, научная новизна данной работы заключается в том, что:

1) Были разработаны строгие модели формирования оптического изображения современными проекционными системами, с возможностью моделирования таких методов улучшения разрешающей способности как:

- объективы с числовой апертурой 0.6 и выше (т.н. высокоапертурные объективы);

- фазовые шаблоны;

- внеосевые источники.

2) Для обеспечения высокой точности и скорости расчета скрытого изображения в резисте было разработано и апробировано строгое скалярное приближение.

3) Для моделирования процесса жидкостного проявления резиста была существенно улучшена производительность хорошо известного метода ячеек.

4) Для моделирования современных высокочувствительных и химически усиленных резистов были адаптированы предложенные ранее модели фоторезистов, а также разработана соответствующая методика определения модельных параметров скорости проявления резиста.

Практическая ценность работы заключается в следующем:

1) Во время подготовки к работе были систематизированы и проанализированы основные приложения математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов.

2) Модели формирования оптического, скрытого и проявленного изображений, разработанные в результате выполнения данной работы, были проверены на большом множестве экспериментальных и расчетных данных, опубликованных другими авторами, что позволяет говорить о высокой достоверности выводов, полученных с помощью разработанных моделей.

3) В результате выполнения данной работы были получены значения предельной разрешающей способности, достижимой в каждом из рассматриваемых фотолитографических процессов при использовании различных комбинаций числовой апертуры и фотошаблонов, а также изучено изменение доступной глубины фокуса при уменьшении размеров воспроизводимых элементов. На основании полученных данных были сделаны выводы о возможных нишах использования рассмотренных фотолитографических процессов в производстве ИС с суб-0.2мкм минимальными размерами.

Структура работы. Работа состоит из введения, трех глав и заключения. Во время предварительного изучения литературы автор столкнулся с отсутствием детального анализа возможностей математического моделирования в исследовании, разработке и оптимизации современного фотолитографического процесса. Поэтому в первой главе проводится указанный анализ, в том числе описываются методики получения основных характеристик фотолитографического процесса, таких как предельная разрешающая способность и глубина фокуса, а также обсуждаются основные трудности, возникающие при практическом использовании математического моделирования.

Во второй главе сформулированы основные модели оптической литографии, разработанные автором в рамках данного исследования, а также приводятся основные результаты по верификации и применимости этих моделей.

В третьей главе приводятся результаты исследования вышеупомянутых фотолитографических процессов с помощью моделей, описанных в главе 2, и согласно методикам, изложенным в главе 1.

В конце каждой главы имеется раздел Выводы, резюмирующий основные результаты, полученные в данной главе. В разделе Заключение собраны основные выводы, сделанные в ходе всей работы.

Поскольку основная масса публикаций в мире по исследуемой тематике в настоящее время осуществляется на английском языке, автор счел нужным привести устоявшиеся английские варианты русских терминов, вводимых по ходу изложения. В конце работы также приводится список используемых сокращений.

Апробация работы. Результаты, представленные в работе, были опубликованы в работах [18, 19, 20, 21, 22, 23], докладывались на следующих международных конференциях: SPIE Microlithography Symposium 1996 - Optical Microlithography IX (Санта-Клара, США), SPIE Microlithography Symposium 1997 - Optical Microlithography X (Санта-Клара, США), SPIE Microlithography Symposium 1998 - Emerging Lithographic Technologies II (Санта-Клара, США), а также семинарах ФТИРАН.

Похожие диссертационные работы по специальности «Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах», 05.27.01 шифр ВАК

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.