Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Дедкова Анна Александровна

  • Дедкова Анна Александровна
  • кандидат науккандидат наук
  • 2022, ФГАОУ ВО  «Национальный исследовательский университет «Московский институт электронной техники»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 200
Дедкова Анна Александровна. Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО  «Национальный исследовательский университет «Московский институт электронной техники». 2022. 200 с.

Оглавление диссертации кандидат наук Дедкова Анна Александровна

Оглавление

ВВЕДЕНИЕ

ГЛАВА 1. АКТУАЛЬНОСТЬ И ПРОБЛЕМЫ АНАЛИЗА РЕЛЬЕФА ПОВЕРХНОСТИ И ОПРЕДЕЛЯЕМЫХ НА ЕГО ОСНОВЕ ХАРАКТЕРИСТИК ПЛЕНОК НА ПОДЛОЖКАХ И ТОНКОПЛЕНОЧНЫХ МЕМБРАН

1.1 Оптические методы неразрушающего контроля для анализа поверхности материалов и изделий

1.1.1 Основные параметры структур, определяемые оптическими методами в технологиях микро- и наноэлектроники

1.1.2 Особенности исследования поверхности структур оптическими методами

1.1.2.1 Оптическая микроскопия

1.1.2.2 Спектральная эллипсометрия

1.1.2.3 Оптическая профилометрия (интерферометрия)

1.1.3 Проблемы при анализе цифровых моделей рельефа поверхности структур

1.1.4 Подробное исследование рельефа поверхности пластин, структур и изделий

1.2 Методы определения механических напряжений в тонких пленках

1.2.1 Механические характеристики. Механические напряжения в пленках на подложках: причины возникновения и методы определения

1.2.2 Особенности метода определения механических напряжений по кривизне структуры (пластины с пленкой)

1.2.3 Метод испытания тонкопленочных мембран посредством выдувания

1.3 Методы геоморфометрии для анализа рельефа поверхности 41 Выводы к Главе 1 49 ГЛАВА 2. РАЗРАБОТКА КОМПЛЕКСА МЕТОДИК НЕРАЗРУШАЮЩЕГО КОНТРОЛЯ И ОБРАБОТКИ РЕЗУЛЬТАТОВ ИЗМЕРЕНИЙ РЕЛЬЕФА ПОВЕРХНОСТИ ПЛАСТИН И СТРУКТУР ДЛЯ ОПРЕДЕЛЕНИЯ ИХ КРИВИЗНЫ И ФОРМЫ

2.1 Методика исследования рельефа поверхности пластин по разреженной сетке

2.2 Методика определения кривизны поверхности пластин с формой сферического сегмента

2.3 Методики исследования пластин сложной формы

2.3.1 Методика определения кривизны поверхности по профилям поверхности

на основе полиномиальной аппроксимации

2.3.2 Методика определения кривизны поверхности на основе расчета вторых частных производных в декартовой и цилиндрической системах координат

2.3.3 Методика определения кривизны и анализа рельефа с применением методов геоморфометрии

2.4 Особенности разработанных методик обработки экспериментальных данных

2.5 Верификация методик проведения измерений на эталонных образцах

2.6 Расчет кривизны для модельных распределений

2.7 Комплекс методик для определения кривизны и формы поверхности пластин и структур 80 Выводы к Главе 2 82 ГЛАВА 3. ПРИМЕНЕНИЕ РАЗРАБОТАННЫХ МЕТОДИК ОПРЕДЕЛЕНИЯ КРИВИЗНЫ И ФОРМЫ ПОВЕРХНОСТИ ДЛЯ НЕРАЗРУШАЮЩЕГО КОНТРОЛЯ И АНАЛИЗА РЕЛЬЕФА ПЛАСТИН С ПЛЕНКАМИ

3.1 Исследование рельефа поверхности до и после нанесения тонких пленок

3.2 Контроль качества соединения пластин

3.3 Исследование механических напряжений в пленках на подложках

3.4 Сравнительный анализ кривизны поверхности пластин с помощью разработанных методик

3.5 Анализ объемных дефектов по рельефу поверхности структур 105 Выводы к Главе 3 112 ГЛАВА 4. РАЗРАБОТКА МЕТОДИК ИССЛЕДОВАНИЯ ГЕОМЕТРИЧЕСКИХ РАЗМЕРОВ И ФОРМЫ ТОНКОПЛЕНОЧНЫХ МЕМБРАН 114 4.1 Методика определения параметров тонкопленочных мембран

3

4.2 Особенности начальной формы тонкопленочных мембран

4.3 Оперативная неразрушающая методика контроля расположенных на пластине мембран

4.4 Исследование изменения формы тонкопленочных мембран с применением методов геоморфометрии 137 Выводы к Главе 4 144 ГЛАВА 5. РАЗРАБОТКА МЕТОДИК ИССЛЕДОВАНИЯ ИМЕЮЩИХ НАЧАЛЬНЫЙ ПРОГИБ ТОНКОПЛЕНОЧНЫХ МЕМБРАН ДЛЯ ОПРЕДЕЛЕНИЯ ИХ МЕХАНИЧЕСКИХ ХАРАКТЕРИСТИК

5.1 Реализация методики испытаний тонкопленочных мембран на основе метода выдувания

5.2 Исследование изменения прогиба мембран при реализации метода выдувания

5.3 Методика анализа круглых мембран с учетом их начального прогиба

5.4 Методика анализа степени сферичности формы тонкопленочных мембран

при реализации метода выдувания

5.5 Исследование механических характеристик тонкопленочных мембран, сформированных на кремниевых подложках с помощью Во8сИ-процесса травления 166 Выводы к Главе 5 168 ЗАКЛЮЧЕНИЕ 170 Список обозначений и сокращений 173 Список литературы 174 Приложения. Акты об использовании результатов работы

ВВЕДЕНИЕ

Актуальность темы исследования:

В настоящее время исследования изменения формы и рельефа поверхности полупроводниковых структур является типичной задачей в микроэлектронике. Диссертационная работа посвящена разработке и использованию методик неразрушающего контроля и обработки результатов измерений рельефа поверхности полупроводниковых структур на основе оптических методов анализа поверхности.

Применительно к анализу кремниевых пластин и структур на их основе целью исследования обычно является определение прогиба или радиуса кривизны, необходимых для оценки проведенных технологических процессов и возможности проведения последующих, а также для расчета механических напряжений в слоях по радиусу кривизны. Во многих случаях для достижения этой цели анализируются профили поверхности - линии, пересекающие центр исследуемого образца. Обычно используются два профиля, перпендикулярные друг другу. Однако в случае наличия особенностей рельефа, не попавших в зону анализа, они не будут учтены. Кроме того, профили поверхности реальных структур часто имеют сложную (не сферическую) форму. Это приводит к необходимости формирования полной карты рельефа поверхности и ее подробного анализа с расчетом локальных радиусов кривизны.

При исследовании сформированных посредством Bosch-процесса тонкопленочных мембран обнаружено, что такие мембраны характеризуются наличием начального прогиба и сложной (не сферической) формой, что не учитывается в широко использующихся уравнениях теории пластин и оболочек. Это требует адаптации методики определения механических характеристик таких мембран для проведения инженерных расчетов. Также для развития математических моделей, описывающих поведение микроструктур, и их верификации в соответствии с экспериментальными данными, необходимы методики идентификации параметров тонкопленочных мембран и методики анализа их рельефа поверхности в процессе различных воздействий.

Оперативное определение характеристик объемных дефектов, а также прилегающих к ним областей востребовано различными специалистами. Целесообразно исследовать структуры целиком, в комплексе - как цифровую модель рельефа (ЦМР) поверхности, представленной массивом координат (X, У, 2). Это требует разработки

соответствующих методик проведения измерений и анализа. Для эффективной

и и и и

всесторонней качественной и количественной оценки особенностей рельефа часто недостаточно анализировать только экспериментально полученную ЦМР этой поверхности. Это связано с тем, что методы визуализации ЦМР не позволяют наглядно отображать пространственное распределение слабовыраженных паттернов рельефа. Как правило, приборы для формирования ЦМР снабжены программным обеспечением (ПО), позволяющим визуализировать распределение функции Однако подробный

анализ дефектов непосредственно по ЦМР часто затруднен в связи со сложной формой исследуемых объектов.

Для всеобъемлющего описания особенностей рельефа необходимо разработать методики, основанные на использовании полной системы кривизн поверхности на базе опыта геоморфометрии. В существующих методиках анализа рельефа пластин и структур такая система не используется, что делает актуальной задачу разработки и апробации соответствующих методик.

Разработанные методики будут востребованы для межоперационного контроля в процессе изготовления структур, контроля работоспособности готовых изделий в процессе их эксплуатации, проведения подробного анализа напряженно-деформированного состояния.

Цель диссертационной работы: разработка и использование комплекса методик для оптического исследования рельефа и механических характеристик пленок на подложках и тонкопленочных мембран.

Задачи исследования. Для достижения поставленной цели в диссертационной работе необходимо решить следующие конкретные задачи:

1. Изучение основных принципов исследования рельефа поверхности с помощью оптических методов; расчета кривизны и механических напряжений по данным о рельефе поверхности.

2. Разработка и тестирование методики определения рельефа поверхности пластин диаметром до 200 мм посредством оптического профилометра.

3. Разработка методик неразрушающего контроля и обработки результатов измерений рельефа поверхности структур, включая ЦМР. Разработка ПО для реализации этих методик, с учетом специфики ЦМР полупроводниковых пластин и структур.

4. Установление необходимых для анализа тонкопленочных мембран параметров и разработка подходов к их определению, в том числе автоматически по всей пластине.

5. Разработка методики испытаний тонкопленочных мембран на основе метода выдувания с учетом наличия у мембран начального прогиба.

6. Исследование микрорельефа поверхности пленок на подложках и сформированных структур, формы пластин до и после проведения технологических процессов, изменения формы тонкопленочных мембран с использованием разработанных методик.

7. Исследование механических характеристик тонкопленочных мембран и механических напряжений в пленках на подложках с использованием разработанных методик.

Научная новизна диссертационного исследования заключается в следующем:

1. Разработан комплекс методик для определения кривизны и формы поверхности пластин и структур, в том числе по ее ЦМР, позволяющий:

- формировать и анализировать ЦМР поверхности пластин диаметром до 200 мм на оптическом профилометре по разреженной сетке данных;

- определять одно значение кривизны (и радиуса кривизны), соответствующее анализируемой структуре для сравнения близких к сферической форме объектов в рамках одной партии;

- рассчитывать вторые частные производные кхх, куу, кху, кгг, кее, кг6 в декартовой (ДСК) и цилиндрической (ЦСК) системах координат, необходимые для расчета карт механических напряжений;

- рассчитывать полную систему кривизн поверхности и анализировать распределения полученных типов кривизны, с последующей дифференциацией областей поверхности. (Свидетельства о регистрации программ для ЭВМ: № 2020663037, 2020663040, 2020663050, 2020663046, 2020663034, 2020663042, 2018662569, 2015662328).

2. Разработаны методики анализа рельефа тонкопленочных структур, с использованием геоморфометрии, позволяющие:

- выявлять локальные выпуклые и вогнутые элементы рельефа, области расположения эллиптических, гиперболических и параболических точек, преимущественное направление изгиба;

- определять расположение линий «гребней», соединяющих наивысшие точки поверхности;

- определять размеры, расположение, характерные особенности и распределения объемных дефектов (неоднородностей рельефа), в том числе протяженных дефектов, мелких выпуклых и вогнутых областей поверхности, областей растрескивания.

3. Разработана оперативная неразрушающая методика анализа прогиба расположенных на пластине мембран. Методика позволяет проводить измерения на оптическом профилометре в полуавтоматическом режиме, рассчитывать прогиб каждой мембраны, проводить типизацию мембран, выводить наглядную карту данных (тип мембраны, величина прогиба, направление изгиба). (Свидетельство о регистрации программы для ЭВМ № 2019663188).

4. Разработана методика определения механических характеристик тонкопленочных мембран посредством метода выдувания с учетом их начального прогиба. Методика позволяет:

- проводить контроль формы, площади, прогиба мембраны - как до проведения испытаний, так и в процессе реализации выдувания;

- минимизировать влияние крепления мембран при проведении испытаний;

- определять площадь поверхности мембраны и проводить расчет прогиба с учетом этой площади;

- проводить подробный анализ однослойных и многослойных мембран толщиной порядка единиц микрометров и менее, имеющих начальный прогиб и начальные напряжения при отсутствии избыточного давления. (Патент РФ № 2758417, Свидетельства о регистрации программ для ЭВМ: № 2021660076, 2020613138).

Теоретическая и практическая значимость работы:

1. Разработан комплекс методик, обеспечивающих получение новых и уточнение существующих знаний об особенностях микро- и нанорельефа полупроводниковых пластин и структур, используемых в микроэлектронике, в том числе - в микроэлектромеханических системах. Это позволит повысить результативность отработки технологий микро- и наноэлектроники и расширит спектр возможных исследований структур.

2. Разработаны методики исследования пластин, позволяющие формировать ЦМР поверхности по всей площади пластины и рассчитывать карты локальной кривизны

поверхности (и частных производных) для непосредственного анализа или последующего расчета карт распределения локальных механических напряжений. Методика определения кривизны поверхности пластин с формой сферического сегмента позволяет рассчитывать одно значение кривизны (радиуса кривизны), соответствующее анализируемой пластине или структуре для сравнения в рамках одной партии. Методика определения кривизны поверхности на основе расчета вторых частных производных (в ДСК и ЦСК) позволяет рассчитывать и исследовать распределения кривизны по площади пластины или структуры, в том числе вдоль любого выбранного направления в плане (для ДСК). Методика определения кривизны и анализа рельефа с применением геоморфометрии позволяет осуществлять расчет полной системы кривизн поверхности, дифференциацию и локализацию областей поверхности исследуемых объектов.

3. Разработана методика определения кривизны и формы поверхности пластин и структур на основе метода геоморфометрии, позволяющая проводить подробный анализ локальной формы поверхности. Методика также эффективна для выявления участков, где имеют место слабые локальные изменения формы поверхности - в том числе объемные дефекты, являющиеся следствием накопления повреждений или иных воздействий. Методика применима для раннего их выявления.

4. Выявлены особенности рельефа поверхности полупроводниковых структур, которые могут быть проанализированы с использованием метода геоморфометрии.

5. Выявлена сложная форма сформированных посредством Bosch-процесса мембран. Разработан комплекс методик исследования таких мембран, позволяющий определять их геометрические параметры и анализировать изменение формы в процессе различных воздействий. В т.ч. разработана методика определения механических характеристик тонкопленочных мембран посредством метода выдувания, позволяющая использовать для инженерных расчетов таких мембран классические выражения теории пластин и оболочек.

6. Разработана оперативная неразрушающая методика анализа прогиба расположенных на пластине мембран, позволяющая локализовать области с максимальным выходом годных кристаллов и области брака по всей поверхности пластины, сократить время измерений. Использование методики позволяет скорректировать технологические маршруты изготовления мембран для увеличения выхода годных.

7. Для созданных методик разработано ПО. ПО прошло государственную регистрацию в Реестре программ для ЭВМ. Получено 13 свидетельств о регистрации программ.

8. Научная новизна исследований подтверждена рядом патентов на изобретения (4 изобретения).

9. Результаты диссертационной работы внедрены в производство и используются в учебном процессе. Акты внедрения прилагаются в диссертации.

10. Результаты диссертационного исследования использованы при выполнении 15 научно-исследовательских и хоздоговорных работ.

На защиту выносятся:

1. Комплекс методик определения кривизны и анализа формы поверхности пластин и структур:

- методика проведения измерений и обработки фрагментарных изображений для анализа рельефа структур большой площади;

- методики обработки экспериментальных данных и расчета: одного значения кривизны, распределения локальных радиусов кривизны и кривизны, распределения частных производных в ДСК и ЦКС, полной системы кривизн поверхности.

2. Оперативная неразрушающая методика анализа прогиба мембран, расположенных на пластине, способствующая экономии человеческих ресурсов, машинного времени и лучшей сопоставимости результатов измерений. Методика локализует области расположения мембран с определенными характеристиками, области брака.

3. Методика определения механических характеристик тонкопленочных мембран посредством метода выдувания с учетом их начального прогиба, способствующая повышению точности при исследования пленочных материалов и изделий на их основе, в частности однослойных и многослойных мембран толщиной порядка единиц микрометров и менее, в том числе имеющих начальный прогиб при отсутствии избыточного давления, в том числе мембран со сложной исходной формой топографии поверхности, в том числе хлопающих мембран; повышению наглядности, удобства и чувствительности анализа зависимости прогиба мембран от избыточного давления.

4. Результаты исследований рельефа поверхности пленок на подложках и тонкопленочных мембран с использованием разработанных методик. Методики анализа

особенностей рельефа поверхности: локальных областей определенной формы, расположения линий «гребней», особенностей объемных дефектов.

Личный вклад Дедковой А.А. являлся основополагающим на всех стадиях проведения диссертационных исследований и состоял в определении целей и постановке задач исследований, непосредственном выполнении значительной части экспериментов, разработке и тестировании методик и соответствующего ПО, систематизации и анализе полученных результатов, подготовке научных публикаций.

Степень достоверности результатов:

Достоверность полученных в диссертации результатов основана на большом объеме экспериментальных работ с использованием современного оборудования и методик, в том числе, разработанных автором. Результаты работы прошли рецензирование в публикациях в высокорейтинговых журналах, в том числе, рекомендованных ВАК, а также апробацию на международных конференциях, где получили высокую оценку. Сделанные в работе выводы научно обоснованы и не противоречат литературным данным. Разработанное ПО и изобретения зарегистрированы в Роспатенте.

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур»

Апробация работы

Результаты диссертационных исследований докладывались и обсуждались на 29 международных и всероссийских научно-технических конференциях, в том числе: «Микро- и наноэлектроника» (The International Conference «Micro- and Nanoelectronics», ICMNE) - 2014, 2016, 2018, 2021, Звенигород; «Нанофизика и наноэлектроника» - 2016, 2020, 2021, Нижний Новгород; «IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering» (ElConRus) - 2018, 2019, 2020, 2021, Зеленоград; The International Conference «Geomorphometry» - 2021, Perugia, Italy; «Пространственные данные: наука и технологии» - 2021, Москва; «Интеллектуализация обработки информации» (The International Conference «Intelligent Data Processing: Theory and Applications», IDP) - 2020, Москва; International Conference on Modern Technologies for Non-Destructive Testing - 2016, 2017, Томск; «Электронная компонентная база и микроэлектронные модули» - 2017, 2018, 2019, 2020, Крым; «Микроэлектроника и информатика» - 2019, 2021, Зеленоград.

ГЛАВА 1. АКТУАЛЬНОСТЬ И ПРОБЛЕМЫ АНАЛИЗА РЕЛЬЕФА ПОВЕРХНОСТИ И ОПРЕДЕЛЯЕМЫХ НА ЕГО ОСНОВЕ ХАРАКТЕРИСТИК ПЛЕНОК НА ПОДЛОЖКАХ И ТОНКОПЛЕНОЧНЫХ МЕМБРАН

Рассмотрено современное состояние методик изучения рельефа поверхности при его исследовании оптическими методами. В разделе 1.1.1 приведены основные определяемые в технологиях микро- и наноэлектроники параметры структур. Раздел 1.1.2 включает описание особенностей используемых в настоящем диссертационном исследовании оптических методов и их ограничения. В разделе 1.1.3 описаны проблемы анализа рельефа поверхности структур в микроэлектронике, в том числе сложности, возникающие при необходимости исследования сложной формы структур; в разделе 1.1.4 - приведены конкретные сферы и задачи, для которых такие исследования необходимы. Раздел 1.2 посвящен методам определения механических напряжений в тонких пленках: по кривизне структуры (пластины с пленкой), при испытаниях тонкопленочных мембран посредством выдувания. Раздел 1.3 включает обзор понятий геоморфометрии.

1.1 Оптические методы неразрушающего контроля для анализа поверхности материалов и изделий

1.1.1 Основные параметры структур, определяемые оптическими методами в технологиях микро- и наноэлектроники

При производстве кристаллов интегральных микросхем (ИМС) или других приборов и изделий реализуется технологических маршрут обработки полупроводниковых пластин или иных подложек. Он представляет собой проведение последовательности технологических и контрольно-измерительных операций [1-2].

В общем случае на операции обработки при производстве изделий поступает структура со сформированным топологическим рельефом (гладкие подслои или подложка являются частными случаями), которая имеет следующие исходные характеристики (рисунок 1.1) [3]:

1 Материалы подложки, подслоя и слоя.

2 Площади материалов подслоев на подложке (пластине).

3 Толщины и равномерности гладких подслоев на подложке.

4 Параметры рельефа подслоя (подложки), включающие: наименьший период регулярных структур: ступенек, канавок, отверстий (параметр «с» на рисунке 1.1); ширину (диаметр) и высоту (глубину) элементов регулярных структур с наименьшим периодом (параметры «а», «Ь», «И0» на рисунке 1.1); ширину (диаметр) и высоту (глубину) наиболее узких и наиболее высоких (глубоких) одиночно расположенных ступенек, канавок, отверстий.

5. Исходная плотность дефектов с размером, большим или равным критическому размеру.

Рисунок 1.1 - Вид входной структуры, поступающий на операции обработки: 1 - подложка; 2 - гладкий подслой; 3 - слой с топологическим рельефом [3]

При этом следует определить следующие понятия:

• Рельеф поверхности (от франц. relief) - сочетание формы и очертания поверхности, совокупность неровностей поверхности.

• Топография поверхности (от греч. topos - место, grapho - пишу/рисую) - в

сложившейся традиции терминологии анализа микроструктур в Российской Федерации

имеет то же значение, что и «рельеф», что наиболее вероятно является следствием

работы с англоязычными источниками (в том числе руководствами пользователя

исследовательского оборудования), в которых используется английское слово

«topography». Согласно [4], топография поверхности объекта представляет собой

геометрический рельеф из наборов выступов и впадин, разнообразных форм, размеров и

уровней повторяемости. Однако стоит заметить, что задолго до этого под термином

«топография поверхности» понималась научно-техническая дисциплина, занимающаяся

13

географическим и геометрическим изучением местности путем создания топографических карт на основе съемочных работ. Тем не менее, в настоящее время в абсолютном большинстве работ данные термины используются как синонимы [5-10].

• Топология - раздел математики, изучающий явление непрерывности. Применительно к микроэлектронике используется термин «топология интегральных микросхем» - зафиксированное пространственно-геометрическое расположение совокупности элементов интегральной микросхемы и связей между ними [11].

• Морфология поверхности (от греч. шогрке — вид, форма) - описание внешних черт поверхности (характера расположения, ориентировки, очертаний форм рельефа и т. п.). Применительно к анализу наноструктур, под их морфологией понимают совокупную характеристику нанообъектов, включающая в себя их размер, форму и пространственную организацию [12, 13].

• Профиль поверхности - линия пересечения исследуемой поверхности с плоскостью, перпендикулярной этой поверхности (рисунок 1.2).

• Шероховатость поверхности - совокупность неровностей поверхности с относительно малыми шагами, выделенная на базовой длине. Яа - среднее арифметическое из абсолютных отклонений профиля в пределах базовой длины [14].

• Форма - внешнее очертание объекта. Под формой поверхности понимается отклонение поверхности от идеального представления (применительно к микроэлектронике - преимущественно от формы плоскости).

Рисунок 1.2 - Профиль поверхности [15, 16]

Также следует определить параметры макрогеометрии пластин [17]:

• Плоскостность - отклонение какой-либо поверхности пластины в свободном (незакрепленном) состоянии от плоскости.

• Коробление - мера изогнутости пластины в свободном состоянии, определяется как полуразность между максимальным и минимальным расстояниями срединной поверхности (поверхности внутри пластины, равноудаленная от наружных поверхностей) относительно базовой плоскости.

• Прогиб - расстояние между заданной точкой внешней поверхности пластины и прямой линией, проходящей через крайние точки диаметрального сечения этой поверхности.

Анализ формы (кривизны) пластин (в т.ч. с нанесенными пленками или нескольких соединенных пластин) в основном производится для количественной оценки уровня неровности поверхности и для расчета механических напряжений. Полученные данные характеризуют результаты проведенных технологических процессов. Для формирования элементов с минимальными топологическими нормами при проведении контактной литографии требуется использовать пластины с ровной поверхностью [18]. Отдельно выделяется необходимость оценки формы и прогиба пластин при отработке временного бондинга в связи со сравнительной новизной этой задачи и важностью для развития технологий 3D микросборок интегральных схем [19]. Часто определение кривизны поверхности пластин осуществляется с целью оценки механических напряжений в структурах по методу Стоуни [20]. Величина механических напряжений при изготовлении интегральных схем и МЭМС-устройств на базе кремниевых пластин оказывает сильное влияние на их надежность и динамические характеристики [21] (МЭМС - микроэлектромеханические системы).

Обнаружение и анализ дефектов различных объектов является распространенной и критически важной задачей [22-26]. Исходя из классификации по геометрическому принципу можно выделить дефекты: точечные или нульмерные (вакансии, атомы примеси, межузельные атомы и др.), одномерные или линейные (дислокации и др.), двумерные или поверхностные (границы зерен, фаз, дефекты упаковки и др.), трехмерные или объемные [22, 27, 28]. К объемным дефектам относят трещины, полости (в том числе поры), царапины, инородные включения, отклонения формы и размера, др.

Своевременное выявление и определение характеристик дефектов необходимо как для межоперационного контроля в процессе изготовления структур, так и для контроля работоспособности готовых изделий в процессе их эксплуатации. Подробный анализ напряженно-деформированного состояния вблизи сформированного дефекта, его

15

влияние на характеристики структуры в целом, а также механизмы образования и развития дефектов - предмет большого числа исследований [25, 26, 29-41]. Для исследования и контроля дефектов широко используются оптические методы [24, 29, 42-48].

Одним из наиболее типичных дефектов системы «пленка-подложка» является отслаивание пленок, проявляющееся в виде одиночных трещин или вспучивания [25, 35, 37-40, 49-52]. В целом при анализе выделяют [25, 26]: поры в подложке, поверхностные трещины в пленке, гофрирование сжатой пленки с отслоением от подложки, вызванные трещинами отслоения в растянутой пленке или вызванные гофрированием сжатой пленки трещины, упругая эйлеровская неустойчивость пластины при сжатии, диффузионно-пластическая нестабильность поверхности пленки и др. Большинство из них могут быть проанализированы по цифровой модели рельефа (ЦМР) поверхности.

1.1.2 Особенности исследования поверхности структур оптическими методами

Диссертационные исследования выполнялись с использованием: оптического микроскопа Nikon Eclipse L200N, спектральных эллипсометров Horiba Auto SE и Horiba Uvisel 2 (ПО DeltaPsi2), оптического профилометра Veeco Wyko NT 9300 (ПО Vision).

При проведении исследований особое внимание стоит уделить необходимости комплексного подхода при анализе структур и изделий, т.к. применение различных методик исследований и методов обработки полученных данных позволяет получить больше полезной информации.

1.1.2.1 Оптическая микроскопия

Оптическая микроскопия предназначена для изучения и контроля качества интегральных схем и других объектов [53-57]. Прибор Nikon Eclipse L200N реализует методы отраженного и проходящего света, светлого поля и темного поля, и др. [58].

Для изучения непрозрачных образцов используется отраженный свет. Освещение образца производится через объектив. Изображение создается за счет того, что различные участки образца по-разному рассеивают падающий на них свет. Метод имеет

два режима - режим светлого поля и режим темного поля. Режим светлого поля является стандартным режимом оптического микроскопа.

Режим темного поля - это режим, в котором освещение образца осуществляется пучком света, распространяющимся под небольшим углом к плоскости образца [59]. Свет от осветителя в этом режиме поступает через выполненное в виде кольца отражающее зеркало, расположенное на внешней части объектива. Изображение создается за счет света, рассеянного объектами, резко выступающими из плоской поверхности образца. Это могут быть частицы, границы структур и т.п. В основном темнопольная микроскопия используется для выявления и анализа дефектов структур. Метод также может быть реализован и в проходящем свете.

Другой способ освещения - это освещение проходящим светом. Свет от источника проходит сквозь исследуемый прозрачный или частично прозрачный образец. Данный метод может применяться для анализа непрозрачных включений в прозрачных структурах или для определения контуров элементов структуры.

Оптическая микроскопия позволяет быстро выполнить предварительный анализ структур. Исследование занимает менее 5 минут с учетом включения прибора, установки образца, фокусировки, выбора области и записи результатов; обычно не требуется предварительной подготовки образцов. Использование оптической микроскопии увеличивает общее время анализа образца незначительно, но может стать источником полезной дополнительной информации об исследуемом объекте. Поэтому во многих случаях целесообразно использовать оптическую микроскопию для предварительного анализа структур. Полученная информация может оказаться важной и непосредственно использоваться в ходе последующего анализа другими методами [53, 55, 56, 60]. Данное замечание особенно актуально по отношению к образцам, о процессах изготовления которых отсутствует подробная надежная информация.

В выполненной в рамках диссертационных исследований работе [61] даны примеры использования оптической микроскопии для анализа: исходных и окисленных кремниевых пластин; полученных лазерной маркировкой углублений; технологических процессов создания GaN на сапфире; механической обработки металлических изделий; технологии создания круглых мембран и определения их параметров; структур сложной формы с высокой шероховатостью. На конкретных примерах показана полезность применения оптической микроскопии для предварительного анализа структур.

Приведены результаты исследования поверхности образцов перед применением методов профилометрии (для определения перепада высот) и спектральной эллипсометрии (для анализа тонких пленок, оптических характеристик материалов и др.). Продемонстрировано, что данные оптической микроскопии позволили провести корректный анализ этих образцов.

В работе [61] приведен обзор использования метода и кратко описаны особенности методик использования оптической микроскопии для:

• качественного визуального анализа внешнего вида полученной структуры;

• анализа элементов структуры и определения их размеров, различения сходных и отличных областей, проведения их качественного анализа [57, 62];

• анализа особенностей рельефа поверхности и оценки перепада высот [63];

• обнаружения единичных загрязнений и дефектов, определения их формы и размеров, проведения количественного анализа дефектности [64, 65];

• обнаружения прозрачных пленок окислов или прозрачных загрязнений;

• выявления особенностей исследуемой структуры, на которые стоит обратить внимание при проведении анализа другими методами.

1.1.2.2 Спектральная эллипсометрия

Спектральная эллипсометрия предназначена для определения оптических характеристик и толщин пленок, а также многослойных оптически прозрачных структур [66-70]. Метод спектральной эллипсометрии основан на анализе изменения состояния поляризации для луча поляризованного света при его отражении от границы раздела двух сред. Это изменение может быть обусловлено как оптическими характеристиками самих сред, так и состоянием поверхности, например наличием на ней окисных пленок, шероховатостей, покрытий.

Посредством оборудования Horiba Auto SE или Horiba Uvisel 2 - снимаются спектры параметров Is, Ic и др. и производят расчет интересующих характеристик. При стандартной конфигурации эллипсометра /s = sin 2^ sin^, Ic = sm2VcosA. Определение коэффициента преломления ñ, коэффициента экстинкции к, коэффициента поглощения, толщины слоев - производится путем многократного решения прямой задачи эллипсометрии на основе построенной математической модели образца и

18

сравнения эффективности подбора рассчитанного теоретически образца с реальными экспериментальными данными [71].

Для составления адекватной модели необходимо знать параметры образца (количество слоев, их толщины, материалы и т.д.). Это приводит к необходимости разработки модели для решения каждой конкретной задачи исследования структуры, предварительного контроля состояния поверхности образцов, послойного анализа подобных структур для верификации модели.

В процессе проведения диссертационных исследований с использованием спектральной эллипсометрии на оборудовании Horiba Auto SE и Uvisel 2 анализировались:

- различной толщины однослойные и многослойные структуры на базе тонких пленок SiO2, SiON и SiNx на кремнии (Si) [72-78]: от естественного окисла SiO2 (порядка 2 нм) до заготовок для волноводов толщиной 15 мкм; проводилось картирование пластин с целью анализа разброса интересующих характеристик (толщины слоев, показателя преломления ñ и др.) и исследование областей размером 250х250 мкм и менее (например, при анализе мембран);

- тонкие (до 50 нм) и толстые металлические пленки (Al, Fei0Ni74Coi6, Pt и др.) на различных подложках; проводились исследования зависимости коэффициента отражения и иных характеристик от параметров технологических процессов нанесения пленок, исследования воздействия на пленку платины (Pt) рентгеновского излучения, анализ влияния отжига и намагниченности [79];

- сформированные на покровном стекле структуры с ITO (оксида индия-олова - indium tin oxide), PEDOT (Poly(3,4-ethylenedioxythiophene), фотоактивными слоями;

- сформированные методом магнетронного напыления пленки нитрида и оксинитрида титана (TiN и TiON); анализировался необычный характер действительной и мнимой части диэлектрической проницаемости [80-83];

- полученные в объединяющем методы атомно-слоевой эпитаксии и МОС-гидридной эпитаксии реакторе зародышевые пленки нитрида галлия GaN на сапфировых подложках [84, 84];

- полученные магнетронным распылением тонкие пленки Ge2Sb2Te5 [86-90];

исследовалось влияние внедрения ионов олова Sn, степени кристалличности - на

19

распределение оптических характеристик (показателя преломления п, коэффициента экстинкции к и др.),

- и др. [91].

Сложившаяся специфика задач микроэлектроники - упор на получении одинаковой толщины и оптических характеристик тонких пленок на пластинах и подробный анализ изменения эллипсометрических углов Ф и Л на небольшой площади образцах - привела к соответствующим возможностям программного обеспечения для обработки результатов измерений. Однако в ряде случаев необходимо анализировать распределение по площади структур эллипсометрических углов и других величин, учитывать неравномерность толщин нижележащих слоев.

Для выполнения ряда вышеприведенных исследований (анализ А1, Ре10№74Со16 и П) было необходимо анализировать распределение по площади образцов исходных экспериментальных данных. Проведение моделирования предполагает введение и анализ большого числа дополнительных параметров и может быть следствием новых ошибок. Это особенно актуально для случая малых изменений данных. Имеющаяся версия программного обеспечения спектрального эллипсометра БекаР812 позволяет строить карты распределения рассчитанных в процессе моделирования величин -толщин слоев, показателя преломления и др. Однако она не позволяет сравнивать карты распределения для спектров 1с, эллипсометрических углов Ф и Л, а также проводить элементарные математические операции над ними. Поэтому с использованием МайаЪ была доработана методика, позволяющая осуществлять такой анализ. Соответствующее программное обеспечение (зарегистрировано в Роспатенте [92]) обрабатывает исходные экспериментальные данные со спектрального эллипсометра в формате .&ре и использует для построения карты распределения процедуру обхода образца со спектрального эллипсометра в формате . Данная методика полезна при необходимости оценки результатов воздействия на часть образца при известной области воздействия (например, в случае наличия облученных и необлученных участков).

По аналогии с оптимизированной методикой анализа исходных экспериментальных данных по карте их распределения с использованием МаЙаЪ, доработана и методика определения толщины слоев многослойных структур. При исследовании или контроле равномерности распределения толщины слоя по пластине в

некоторых случаях необходимо учитывать влияние неравномерности нижележащих слоев (или подслоев). Этот подход целесообразно использовать в следующих случаях:

- при анализе сверхтонких слоев; частный широко встречающийся случай -анализ структур на кремниевой пластине с естественным окислом SiO2;

- при анализе структур в случае наличия толстого подслоя с существенной неравномерностью распределения толщины.

Отдельно стоит отметить необходимость применения комплексного подхода при исследовании островковых пленок. Особенности исследования таких пленок в рамках диссертационной работы рассмотрены на примере тонкого зародышевого слоя нитрида галлия (GaN) на сапфировых подложках (Al2O3) [85]. Для этих пленок показана сопоставимость результатов анализа площади покрытия поверхности подложек кристаллитами GaN: при обработке РЭМ-изображений посредством разработанного ПО (зарегистрировано в Роспатенте [93]), при анализе поверхности посредством спектральной эллипсометрии с использованием теории эффективных сред (модель Максвелла-Гарнетта) и при применении контактной профилометрии.

1.1.2.3 Оптическая профилометрия (интерферометрия)

Метод оптической профилометрии, основанный на оптической интерференционной микроскопии, - это бесконтактный метод быстрого получения топографии поверхности в диапазоне от шероховатости нанометрового масштаба до ступенек миллиметровой высоты. Для вычисления высоты элементов поверхности по интерференционным данным существует несколько общепринятых методов [94]. Оптический профилометр объединяет в себе интерферометр и микроскоп. Световой пучок проходит через делительную призму, после чего одна часть пучка попадает на образец, а другая - на опорное зеркало. Когда лучи, отраженные от этих поверхностей, встречаются и интерферируют - формируется интерференционная картина, состоящая из набора полос. В процессе измерений серия таких интерференционных картин регистрируется с помощью ПЗС-камеры, затем производится анализ интерференционной картины для получения количественных данных о параметрах топографии поверхности.

Используемый для выполнения диссертационных исследований оптический профилометр Veeco Wyko NT 9300 может работать в трех основных режимах: режим фазово-сдвигающей интерферометрии (Phase-Shifting Interferometry, PSI), режим вертикальной сканирующей интерферометрии (Vertical Scanning Interferometry, VSI) [46, 95-97], режим Intensity. В режиме Intensity не производится перемещения измерительной головки по оси Z и определение перепада высот. Данный режим сродни использованию стандартной оптической микроскопии. Режимы PSI и VSI используются для определения перепада высот и анализа рельефа поверхности [94].

В режиме интерферометрии фазового контраста (PSI) используется квазимонохроматический свет и осуществляется сбор данных об интенсивности в каждой точке изображения. Анализируются несколько интерферограмм (не менее трех), полученных с использованием отражения от опорной оптической поверхности, перемещаемой на дробную часть длины волны. В режиме PSI вертикальное разрешение составляет менее 0,1 нм для оптимально подготовленных образцов, что предполагает высокую гладкость и одинаковость оптических свойств их поверхности по всей области сканирования рельефа структуры [98]. Таким образом, в режиме фазового контраста метод оптической профилометрии имеет возможность измерения топологических структур или рельефной поверхности суб 10-ти нанометрового уровня (диапазона (1,0 -10) нм), но при этом диапазон измерения (перепад высот между соседними точками на поверхности) не может превышать Л/4, где Л - длина волны используемого монохроматического излучения. Для используемого излучения с длиной волны X = 630 нм диапазон измерения не превышает 160 нм.

Режим интерферометрии вертикального сканирования (VSI) основан на регистрации интерференционных картин в белом свете при перемещении образца по вертикали. Положение реперного зеркала в объективах подобрано таким образом, чтобы лучи, отраженные от фокальной плоскости объектива, проходили оптический путь такой же длины, что и лучи, отраженные от зеркала, т.е. оптическая разность хода была равна нулю. При этом условии в интерференционной картине возникают максимумы для всех длин волн, и наблюдается абсолютный максимум интенсивности, регистрируемый видеокамерой. Таким образом, если в некоторой точке образца наблюдается абсолютный максимум, она находится в фокусе. При вертикальном сканировании все точки поверхности поочередно проходят через фокус. По последовательности

22

полученных интерференционных картин видеокамера определяет изменения интенсивности света в каждой точке в зависимости от расстояния. Программное обеспечение прибора вычисляет положение максимума интенсивности для каждой точки матрицы, после чего восстанавливается форма поверхности. С помощью режима VSI можно проводить измерения на шероховатых поверхностях, имеющих перепад высот до нескольких миллиметров. Достижимое по вертикали разрешение метода оптической профилометрии в режиме VSI составляет (3,0 - 5,0) нм. Это позволяет уверенно измерять перепад высот поверхностного рельефа высотой или глубиной более 15 нм, что достаточно для многих практических применений. Изображения больших полей с высоким разрешением получают путем «сшивки» («склейки») изображений соседних участков [98]. Режим VSI обеспечивает менее точные измерения, чем PSI, но может использоваться на поверхностях с перепадом высот, превышающем 160 нм.

Оптический профилометр представляет собой напольную автоматизированную многофункциональную измерительную систему и состоит из основного блока и рабочего места оператора. Основной блок включает: турель с комплектом измерительных головок, антивибрационный стол, на котором укреплен блок электроники и системный блок компьютера, моторизованный стол для перемещения образцов в плоскости XY и панель переключения. Измерительная головка включает: ПЗС камеру для регистрации интерференционных изображений, 2 светодиода белого и зеленого излучения, моторизованный стол для перемещения измерительной головки по оси Z. Для измерений в режиме VSI используется одновременно зеленый и белый светодиоды. Для измерений в режиме PSI используется зеленый светодиод с фильтром. Характеристики прибора приведены в Таблице 1.1 [99].

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Дедкова Анна Александровна, 2022 год

Список литературы

1. Киреев В.Ю. Введение в технологии микроэлектроники и нанотехнологии. -М.: ФГУП «ЦНИИХМ», 2008 - 432 с.

2. Y. Nishi, R. Doering (Eds.) Handbook of Semiconductor Manufacturing Technology. 2nd Edition. - CRC Press., 2008. - 1722 p.

3. Киреев В.Ю. Нанотехнологии в микроэлектронике. Нанолитография -процессы и оборудование. - Долгопрудный: Издательский дом «Интеллект», 2016. -320 с.

4. Порошин В.В. Основы комплексного контроля топографии поверхности деталей. - М.: «Машиностроение-1», 2007. - 196 с.

5. Романов Д.А., Будовских Е.А. Топография поверхности электровзрывных псевдосплавных молибден-медных покрытий // XI Международная научно-техническая уральская школа-семинар молодых ученых-металловедов. - Екатеринбург, 2010. -С. 248-250.

6. Серебряков А.В., Розенбаум М.М., Розенбаум М.А., Серебряков А.В., Смирнов С.В. Топография поверхности труб из коррозионностойкой стали для парогенераторов АЭС // В сборнике: Инновационные технологии в металлургии и машиностроении. Уральская научно-педагогическая школа имени профессора А.Ф. Головина. - 2013. - С. 520-528.

7. Кузнецов В.П., Дмитриева О.В., Макаров А.В., Киряков А.Е. Экспериментальное и теоретическое исследования формирования субмикрошероховатых поверхносте деталей выглаживаем на токарно-фрезерных центрах // Известия Томского политехнического университета. - 2011. - Т. 319, № 2. -С. 40-45.

8. Гольцев М.В., Гольцев В.П., Баран Л.В., Ахрамович О.Д. Морфология поверхности и физико-механические свойства композиционных покрытий нитридов Ti, Zr и Мо // Материалы 8-й международной конференции «Взаимодействие излучений с твердым телом», Минск, Беларусь. - 2009. - С. 249-251.

9. Пилипенко В.А., Чижик С.А., Понарядов В.В., Петлицкая Т.В., Кузнецова Т.А. Исследование топологии интегральных микросхем методом атомно-силовой микроскопии // Вестник БГУ. Серия 1, Физика. Математика. Информатика. - 2012. -№ 1. - С. 17-20.

10. Крутиков В.Н. (ред.) Метрологическое обеспечение нанотехнологий и продукции наноиндустрии. - М.: Логос, 2011г. - 592 с.

11. Коломейцева М.А. Международный опыт в области охраны новых технологических объектов: интегральные микросхемы // Интеллектуальная собственность: проблемы и перспективы правового регулирования: сборник научных трудов. - Казань, 2000. - С. 162-172.

12. Ковалевский В.Н., Ковалевская А.В., Жук А.Е., Григорьев С.В., Рулькевич А.В., Фомихина И.В. Морфология поверхности и структура покрытий как показатели оценки работоспособности композиционных материалов // Литье и металлургия. - 2011. - № 3 (61). - С. 128-133.

13. Алехин А.П., Кириленко А.Г., Лапшин Р.В. Морфология поверхности тонких углеродных пленок, осажденных из плазмы на полиэтилен низкой плотности // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2004. - № 2. - С. 3-9.

14. Маркова Т.В., Крыжановская И.М. Шероховатость поверхности: Метод. указания. - СПб.: Изд-во Политехн. ун-та, 2006. - 32 с.

15. Табенкин А.Н., Тарасов С.Б., Степанов С.Н. (ред. Табачникова Н.А.) Шероховатость, волнистость, профиль. Международный опыт. - СПб.: Изд-во Политехн. Ун-та, 2007. - 136 с.

16. Дедкова А.А., Киреев В.Ю., Махиборода М.А. Возможности и ограничения метода контактной профилометрии при определении перепада высот для контроля топологических элементов и толщин слоев // Наноструктуры. Математическая физика и моделирование. - 2020. - Т. 20, № 2. - С. 23-40.

17. Захаров Н.П., Багдасарян А.В. Механические явления в интегральных структурах. - М.: Радио и связь, 1992. - 144 с.

18. Дюжев Н.А., Махиборода М.А., Гусев Е.Э., Катеев М.В. Формирование планарной поверхности пластин для проведения технологических операций контактной литографии и бондинга // Нано- и микросистемная техника. - 2017. - Т. 19, № 1. - С. 3033.

19. Wuensch D. et. al. Temporary wafer bonding - key technology for MEMS devices // 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI. - 2017. - Р. 1-7.

20. Stoney G.G. The tension of metallic films deposited by electrolysis // Proceedings of the Royal Society of London. Series A. - 1909. - Vol. 82, No. 553. - P. 172-175.

21. Гусев Е.Э. Исследование и разработка технологии создания высокопрочных мембран для преобразователей физических величин: дисс. ... к.т.н.: 05.27.01 - М., 2019. - 182 с.

22. Золотов Д.А., Бузмаков А.В., Елфимов Д.А., Асадчиков В.Е., Чуховский Ф.Н. Возможность выявления пространственного расположения одиночных дислокаций методом топо-томографии на лабораторных установках // Кристаллография. - 2017. -Т. 62, № 1. - С. 12-16.

23. Клунникова Ю.В., Гусев Е.Ю. Исследование термоупругих напряжений в пленках поликристаллического кремния на подложке сапфира, полученных плазмохимическим осаждением // Известия ЮФУ. Технические науки. - 2018. -№ 2 (196). - С. 16-25.

24. Сенько С.Ф., Зеленин В.А. Оценка размеров топографических дефектов полупроводниковых кремниевых структур // Приборы и методы измерений. - 2018. -Т. 9, № 1. - С. 74-84.

25. Телятник Р.С., Осипов А.В., Кукушкин С.А. Релаксация деформаций несоответствия за счет пор и отслоений и условия образования дислокаций, трещин и гофров в эпитаксиальной гетероструктуре AlN(0001)/SiC/Si(111) // Физика твердого тела. - 2015. - Т. 57, № 1. - С. 153-162.

26. Шугуров А.Р., Панин А.В. Механизмы периодической деформации системы «пленка-подложка» под действием сжимающих напряжений // Физическая мезомеханика. - 2009. - Т. 12, № 3. - С. 23-32.

27. Асабина Е.А. Дефекты в твердых телах и их влияние на свойства функциональных материалов. Нижний Новгород: Электронное учебно-методическое пособие. - Нижний Новгород: Нижегородский госуниверситет, 2012. - 65 с.

28. Орлов А.Н. Введение в теорию дефектов в кристаллах. - М.: Высшая школа, 1983. - 144 с.

29. Карева Н.Т., Чунгаков Д.Т., Заварцев Н.А. Исследование трещинообразования при изготовлении выпускного клапана ДВС // Вестник Южно-Уральского государственного университета. Серия: Металлургия. - 2019. - Т. 19, № 2. - С. 44-52.

175

30. Неверов В.В., Неверова Т.И. Области концентрации напряжений в поле дуговых трещин // Фундаментальные проблемы современного материаловедения. -2007. - Т. 4, № 3. - С. 117-122.

31. Чернятин А.С., Разумовский И.А., Матвиенко Ю.Г. Оценка размеров зоны неупругого деформирования у вершины трещины на основе анализа полей перемещений // Заводская лаборатория. Диагностика материалов. - 2016. - Т. 82, № 12. - С. 45-51.

32. Ерасов В.С., Орешко Е.И. Деформация и разрушение как процессы изменения объема, площади поверхности и линейных размеров в нагружаемых телах // Труды ВИАМ. - 2016. - № 8 (44). - С. 83-92.

33. Урнев А.С., Чернятин А.С., Матвиенко Ю.Г., Разумовский И.А. Экспериментально-численное определение размеров дефектов типа расслоения в слоистых композитных материалах // Заводская лаборатория. Диагностика материалов. -

2018. - Т. 84, № 10. - С. 59-66.

34. Панин С.В., Бяков А.В., Любутин П.С., Башков О.В. Стадийность локализованной пластической деформации при растяжении образцов сплава Д16АТ по данным акустоэмиссии, картирования деформации на поверхности и тензометрии. П. Образцы с надпилами различной глубины. // Дефектоскопия. - 2011. - № 12. - С. 43-54.

35. Стефанов Ю.П., Смолин И.Ю. Численное исследование деформации и образование трещин в плоских образцах с покрытиями // Физическая мезомеханика. -2001. - Т.4, № 6. - С. 35-43.

36. Алферова Е.А., Лычагин Д.В. Деформационный рельеф в кристаллах как способ релаксации напряжений // Письма о материалах. - 2017. - Т. 7. № 2 (26). -С. 155-159.

37. Ярцев В.И., Демьянов Б.Ф., Плотников В.А., Макаров С.В., Соломатин К.В. Релаксационная структура и внутренние напряжения в тонких углеродных пленках // Фундаментальные проблемы современного материаловедения. - 2015. - Т. 12, № 4. -С. 477-481.

38. Абрамян А.К., Бессонов Н.М., Индейцев Д.А., Мочалова Ю.А., Семенов Б.Н. Влияние локализации колебаний на отслоение пленки от основания. // Вестник Санкт-Петербургского университета. Математика. Механика. Астрономия. - 2011. - Т. 1. -С. 8-16.

39. Кургузов В.Д. Моделирование отслоения тонких пленок при сжатии // Вычислительная механика сплошных сред. - 2014. - Т. 7, № 1. - С. 91-99.

40. Кургузов В.Д., Демешкин А.Г. Экспериментальное и теоретическое исследование потери устойчивости узких тонких пластин на упругом основании при сжатии // Прикладная механика и техническая физика. - 2016. - Т. 57, № 3 (337). -С. 121-128.

41. Гольдштейн Р.В., Осипенко Н.М. О разрушении при сжатии // Физическая мезомеханика. - 2018. - Т. 21, № 3. - С. 86-102.

42. Баженов С.Л., Разумовская И.В., Ковалец Н.П., Бедин С.А. Образование отслоений в металлическом покрытии трековой мембраны // Доклады Академии наук. -

2019. - Т. 488, № 1. - С. 44-46.

43. Будаев В.П., Мартыненко Ю.В., Химченко Л.Н., Житлухин А.М., Климов Н.С., Питтс Р.А., Линке И., Базылев Б., Белова Н.Е., Карпов А.В., Коваленко Д.В., Подковыров В.Л., Ярошевская А.Д. Рекристаллизация и изменение рельефа поверхности стали под воздействием излучения в плазменных разрядах большой мощности // Физика плазмы. - 2013. - Т. 39, № 11. - С. 1017-1032.

44. Ольт Ю., Максаров В.В., Махов В.Е. Интеллектуальные системы оценки качества обработки резьбовых поверхностей и контроля дефектов на основе цифровой регистрации светового поля // Дефектоскопия. - 2020. - № 11. - С. 46-57.

45. Малинский Т.В., Миколуцкий С.И., Рогалин В.Е., Хомич Ю.В., Ямщиков В.А., Каплунов И.А., Иванова А.И. Модификация поверхности кремния при воздействии излучения наносекундного ультрафиолетового лазера // Физико-химические аспекты изучения кластеров, наноструктур и наноматериалов. - 2020. - № 12. - С. 628-636.

46. Djuzhev N.A., Gusev E.E., Dedkova A.A., Makhiboroda M.A. Non-destructive method of surface mapping to improve accuracy of mechanical stresses measurements // В сборнике: IOP Conference Series: Materials Science and Engineering. - 2018. - Vol. 289. -# 012007.

47. ГОСТ Р 58399-2019. Контроль неразрушающий. Методы оптические. Общие требования. - М., 2019. - 16 с.

48. Оборин В.А., Банников М.В., Баяндин Ю.В., Соковиков М.А., Билалов Д.А., Наймарк О.Б. Фрактальный анализ поверхности разрушения сплава АМг6 при усталостном и динамическом нагружении // Вестник ПНИПУ. Механика. - 2015. - № 2.

- С. 116-126.

49. Секушин Н.А., Толмачев С.Н. Анизотропное набухание в парах воды косонапыленных пленок SiO/SiO2 // Коллоидный журнал. - 2001. - Т. 63, № 3. - С. 426429.

50. Waters P. Stress analysis and mechanical characterization of thin films for microelectronics and MEMS applications: Ph.D. Thesis. - Florida, 2008. - 197 p.

51. Evans A.G., Hutchinson J.W. The thermomechanical integrity of thin films and multilayers // Acta Metallurgica Et Materialia. - 1995. - Vol. 43, No. 7. - P. 2507-2530.

52. Hutchinson J.W., Suo Z. Mixed mode cracking in layered materials // Advances in applied mechanics. - 1992. - Vol. 29. - P. 63-191.

53. Боргардт Н.И., Алексеев Н.В., Волков Р.Л. Анализ структуры СБИС с применением метода фокусированного ионного пучка, электронной и оптической микроскопии // Известия высших учебных заведений. Электроника. - 2011. - № 5 (91). -С. 91-98.

54. Ковалева О.А., Лазарев С.И., Головин Ю.М., Рыжкин В.Ю. Оптико-микроскопические исследования сорбционной поверхности нанофильтрационных мембран ОПМН-П и ОФАМ-К // Сорбционные и хроматографические процессы. - 2018.

- Т. 18, № 1. - С. 83-92.

55. Гончаров А.С., Ковалев М.С., Соломашенко А.Б., Кузнецов А.С. Исследование параметров микрооптических и дифракционных элементов с помощью технологии корреляционной микроскопии Shuttle and Find // Вестник Московского государственного технического университета им. Н.Э. Баумана. - 2012. - № 9 (9). -С. 17-23.

56. Герасимов В.В. Сравнительная морфология сфероидизированной перлитной стали оптическим и электронно-микроскопическим методами // Известия высших учебных заведений. Проблемы энергетики. - 2015. - № 7-8. - С. 55-59.

57. Ашиккалиева К.Х. Лазерно-стимулируемые периодические структуры на поверхности монокристаллического кремния // Фундаментальные проблемы современного материаловедения. - 2013. - Т. 10, № 1. - С. 21-24.

58. Nikon Микроскоп для инспекции интегральных схем ECLIPSE L200 / ECLIPSE L200D Руководство по эксплуатации. - 46 с.

59. Бородин В.О., Сабиров Д.Х., Цыбина А.Н., Звада Е.А. Микроскопические методы и их роль в современных биологических науках // Научное обозрение. Педагогические науки. - 2019. - № 5-2. - С. 36-40.

60. Кудря А.В., Соколовская Э.А., Ле Х.Н., Траченко В.А., Скородумов С.В., Папина К.Б., Чинь Х.Ч. Оценка строения изломов и структур в конструкционных сталях с использованием компьютеризированных процедур // Вектор науки Тольяттинского государственного университета. - 2015. - № 4 (34). - С. 44-52.

61. Дедкова А.А., Махиборода М.А. Применение оптической микроскопии для качественного и количественного анализа поверхности твердых тел. // Наноструктуры. Математическая физика и моделирование. - 2020. - № 20 (2). - С. 41-64.

62. Алексеева О.В., Носков А.В., Гусейнов С.С. Термическое поведение композиционных материалов на основе полистирола // Физикохимия поверхности и защита материалов. - 2020. - Т. 56, № 3. - С. 227-230.

63. Зенцова Е.А. Цифровая оптическая микроскопия как средство фрактографического анализа высокопрочного чугуна // В сборнике «Инновации, качество и сервис в технике и технологиях». - 2015. - C. 150-153.

64. Меженный М.В., Мильвидский М.Г., Резник В.Я. Влияние быстрого термического отжига на особенности дефектообразования в пластинах кремния при создании эффективного внутреннего геттера // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2009. - № 8. - С. 49-56.

65. Васильев Ю.Б., Верезуб Н.А., Меженный М.В., Просолович В.С., Простомолотов А.И., Резник В.Я. Особенности дефектообразования в процессе термообработки биздислокационных монокристаллических пластин кремния большого диаметра с заданным распределением в объеме кислородсодержащих геттерирующих центров // Известия высших учебных заведений. Материалы электронной техники. -2012. - № 2. - С. 43-50.

66. Швец В.А., Спесивцев Е.В. Эллипсометрия. Учебно-методическое пособие к лабораторным работам. - Новосибирск, 2013. - 87 с.

67. Fujiwara H. Spectroscopic ellipsometry. - Wiley, 2003. - 369 p.

68. Tompkin H., Irene E. Handbook of ellipsometry. - William Andrew Inc., 2005. -

891 p.

69. Горшков М.М. Эллипсометрия. - М.: «Сов. радио», 1974. - 200 с.

70. Ржанов А.В. Основы эллипсометрии. - Новосибирск: Наука, 1978. - 424 с.

71. Швец У.С. Решение обратной задачи эллипсометрии оптимизационными методами // Вестник Сумского государственного университета. Серия Физика, математика, механика. - 2005. - № 8 (80). - С. 160-170.

72. Дюжев Н.А., Гусев Е.Э., Грязнева Т.А., Дедкова А.А., Дронова Д.А., Киреев В.Ю., Кириленко Е.П., Мигунов Д.М., Новиков Д.В., Патюков Н.Н., Преснухина А.А., Бакун А.Д., Ермаков Д.С. Изготовление и исследование параметров и свойств наноструктурированных мембран для МЭМС-приборов // Российские нанотехнологии. - 2017. - Т. 12, № 7-8. - С. 97-106 / В переводе: Dyuzhev N.A. Gusev E.E., Gryazneva T.A., Dedkova A.A., Dronova D.A., Kireev V.Y., Kirilenko E.P., Migunov D.M., Novikov D.V., Patyukov N.N., Presnukhina A.A., Bakun A.D., Ermakov D.S. Fabrication and study of parameters and properties of nanostructured membranes for MEMS devices // Nanotechnologies in Russia. - 2017. - Vol. 12, No. 7-8. - P. 426-437.

73. Гусев Е.Э., Дедкова А.А., Дюжев Н.А., Ковалев А.С., Онуфриенко А.П. Исследование влияния операционных параметров процесса PECVD на характеристики

пленок диоксида кремния // Нано- и микросистемная техника. - 2017. - Т. 19, № 6. -С. 331-337.

74. Djuzhev N.A., Dedkova A.A., Gusev E.E., Makhiboroda M.A., Glagolev P.Y. Non-contact technique for determining the mechanical stress in thin films on wafers by profiler // В сборнике: IOP Conference Series: Materials Science and Engineering. - 2017. - # 012019.

75. Дюжев Н.А., Дедкова А.А., Гусев Е.Э., Новак А.В. Методика измерения механических напряжений в тонких пленках на пластине с помощью оптического профилометра // Известия высших учебных заведений. Электроника. - 2016. - Т. 21, № 4. - С. 367-372.

76. Gusev E.E., Tovarnov D.A., Dedkova A.A., Onufrienko A.P., Djuzhev N.A. Experimental study mechanical stresses and strength in multilayer PECVD SiO2 // Proceedings of the 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2021. - P. 2437-2441.

77. Патент РФ № 2744821 от 16.03.2021. Способ эллипсометрического контроля топографического рельефа, механических напряжений и дефектности пленок на подложках / Дедкова А.А., Киреев В.Ю., Беспалов В.А., Переверзев А.Л.

78. Дедкова А.А., Дюжев Н.А., Киреев В.Ю., Новиков Д.В., Патюков Н.Н. Влияние электронного облучения на характеристики пленок нитрида кремния // Современная электроника. - 2016. - № 9. - С. 2-5.

79. Дедкова А.А., Киреев В.Ю., Мазуркин Н.С. Анализ ферромагнитных пленок с помощью системы исследования магнитооптического эффекта Керра и спектрального эллипсометра // Нано- и микросистемная техника. - 2018. - Т. 20, № 9. - С. 521-527.

80. Тюгаев М.Д., Харитонов А.В., Газизов А.Р., Фишман А.И., Салахов М.Х., Дедкова А.А., Алексеев А.М., Шелаев А.В., Харинцев С.С. Вынужденное комбинационное рассеяние света в нанокомпозитах металл-диэлектрик со спектрально вырожденной диэлектрической проницаемостью // Письма в Журнал экспериментальной и теоретической физики. - 2019. - Т. 110, № 11-12 (12). - С. 772-776 / В переводе: Tyugaev M.D., Kharitonov A.V., Gazizov A.R., Fishman A.I., Salakhov M.Kh., Dedkova A.A., Alekseev A.M., Shelaev A.V., Kharintsev S.S. Stimulated Raman scattering in metal-dielectric nanocomposites with spectrally degenerate dielectric constant // JETP Letters.

- 2019. - Vol. 110. - P. 766-770.

81. Kharintsev S.S., Kharitonov A.V., Alekseev A.M., Kazarian S.G. Superresolution stimulated Raman scattering microscopy using 2-ENZ nano-composites // Nanoscale. - 2019.

- Vol. 11 (16) - P. 7710-7719.

82. Kharintsev S.S., Kharitonov A.V., Saikin S.K., Alekseev A.M., Kazarian S.G. Nonlinear Raman effects enhanced by surface plasmon excitation in planar refractory nanoantennas // Nano letters. - 2017. - Vol. 17 (9). - P. 5533-5539.

83. Demin G., Djuzhev N., Andrushin R., Ryndina T., Dedkova A., Smirnov A., Znatkov P. Prospects of electromagnetic energy harvesting in a combined structure of broadband metamaterial absorber with a magnetic tunnel junction having tunnel magnetoSeebeck effect // PowerMEMS 2019. Technical Digest Manuscript. - 2019. -SubID:61547409666.

84. Никифоров М.О., Дедкова А.А., Рыгалин Б.Н. Влияние технологических параметров процесса атомно-слоевой эпитаксии на однородность толщины зародышевых слоев GaN // Известия высших учебных заведений. Электроника. - 2020. -Т. 25, № 3. - С. 277-281.

85. Дедкова А.А., Никифоров М.О., Митько С.В., Киреев В.Ю. Исследование островковых пленок нитрида галлия на сапфировых подложках методами растровой

179

электронной микроскопии и спектральной эллипсометрии // Российские нанотехнологии. - 2019. - Т. 14, № 3-4. - С. 93-100 / В переводе: Dedkova A.A., Nikiforov M.O., Mitko S.V., Kireev V.Yu. Investigation of gallium nitride island films on sapphire substrates via scanning electron microscopy and spectral ellipsometry // Nanotechnologies in Russia. - 2019. - Vol. 14, No. 3-4. - P. 176-183.

86. Федянина М.Е., Дедкова А.А., Якубов А.О. Влияние термообработки на оптические свойства тонких пленок Ge2Sb2Te5 для многоуровневых устройств нанофотоники // Сборник трудов конференции: XVIII Всероссийский молодежный Самарский конкурс-конференция научных работ по оптике и лазерной физике: сборник трудов конференции, Самара. - 2020. - С. 212-218.

87. Федянина М.Е., Лазаренко П.И., Воробьев Ю.В., Козюхин С.А., Дедкова А.А., Якубов А.О., Левицкий В.С., Сагунова И.В., Шерченков А.А. Влияние степени кристалличности на дисперсию оптических параметров тонких пленок фазовой памяти Ge2Sb2Te5 // Известия высших учебных заведений. Электроника. - 2020. - Т. 25, № 3. -С. 203-218 / В переводе: Fedyanina M.E., Lazarenko P. I., Vorobyev Yu.V., Kozyukhin S.A., Dedkova A.A., Yakubov A.O., Levitskii V.S., Sagunova I.V., Sherchenkov A.A. Influence of the degree of crystallinity on the dispersion of the optical parameters of Ge2Sb2Te5 phase-change memory thin films // Semiconductors. - 2020. - Vol. 54, No. 13. - P. 1775-1783.

88. Федянина М.Е., Дедкова А.А., Лазаренко П.И. Исследование оптических свойств тонких пленок Ge2Sb2Te5 для многоуровневых устройств нанофотоники // Тез. докл. 21-й Всерос. молодеж. конф. «Физика полупроводников и наноструктур, полупроводниковая опто- и наноэлектроника», СПб. - 2019. - С. 25.

89. Yakubov A., Sherchenkov A., Lazarenko P., Babich A., Terekhov D., Dedkova A. Contact resistance measurements for the Ge2Sb2Te5 thin films // Chalcogenide Letters. -2020. - Vol. 17, No. 1. - P. 1-8.

90. Lazarenko P., Kozyukhin S., Eszter B., Sitnikov A., Glukhenkaya V., Tamas F., Seleznev D., Kirilenko E., Dedkova A., Sherchenkov A. Effect of Sn ion implantation on the structural and optical properties of amorphous Ge2Sb2Te5 thin films // Theses of 28th International conference on Amorphous and Nanocrystalline Semiconductors (ICANS28). -2019. - 3 p.

91. Дедкова А. А., Киреев В.Ю., Мысливец А.С., Розель П. А., Трифонов А.Ю. Исследование возможности получения структур с нанометровыми толщинами слоев и резкими границами раздела между ними с помощью процессов ионно-лучевого и реактивного ионно-лучевого осаждения // Российские нанотехнологии. - 2019. - Т. 14, № 5-6. - С. 50-55 / В переводе: Dedkova A.A., Kireev V.Yu., Myslivets A.S., Rozel P.A., Trifonov A.Yu. Research of the possibility to obtain structures with nanometer layer thicknesses and sharp-cut interfaces between them using ion-beam and reactive ion-beam deposition processes // Nanotechnologies in Russia. - 2019. - Vol. 14, No. 5-6. - P. 234-239.

92. Свидетельство о государственной регистрации программы для ЭВМ № 2018666198 от 13.12.2018, РФ. Программа определения в контрольных точках значения толщины нанесенных тонких пленок и ее распределения по площади подложек на структурах с неравномерными по толщине подслоями / Дедкова А.А., Дюжев Н.А.

93. Свидетельство о государственной регистрации программы для ЭВМ № 2018664620 от 24.10.2018, РФ. Программа обработки изображений растровой электронной микроскопии для анализа неоднородностей и частиц в пленках / Дедкова А.А., Гусев Е.Э., Дюжев Н.А.

94. Guenther B.D., Miller A., Bayvel L., Medwinter J.E. (Eds) Encyclopedia of modern optics. - Academic Press. 2004. - 2285 p.

95. Jiang C., Kilcullen P., Liu X., Ozaki T., Liang J. Three-dimensional structured light profilometry using a bandwidth-limited projector. // Proceedings of SPIE - The International Society for Optical Engineering. - 2019. - Vol. 109320. - # 109320K.

96. Mao C.-L., Lu R.-S., Dong J.-T., Zhang Y.-Z. Overview of the 3D profilometry of phase shifting fringe projection // Jiliang Xuebao/Acta Metrologica Sinica. - 2018. -Vol. 39 (5). - P. 628-640.

97. Ardigo M.R., Ahmed M., Besnard A. Stoney formula: Investigation of curvature measurements by optical profilometer // Advanced Materials Research. - 2014. - Vol. 996. -P. 361-366.

98. Оптические профилометры серии Wyko NT - http://xn--80aajzhcnfck0a.xn--p 1 ai/PublicDocuments/ 1003644.pdf.

99. Описание типа средства измерений. Профилометр оптический WYKO NT9300. Регистрационный № 82662-21. Утверждено приказом Федерального агентства по техническому регулированию и метрологии от «13» августа 2021 г. № 1790. - 4 с.

100. Трушникова Е.О. Исследование степени достоверности бесконтактной профилометрии на прозрачных кристаллах после их травления // Пермский государственный национальный исследовательский университет. - С. 32-36.

101. Dubois A. Effects of phase change on reflection in phase-measuring interference microscopy // Applied Optics. - 2004. - Vol. 43, No. 7. - Р. 1503-1507.

102. Азарова В.В., Чертович И.В., Цветкова Т.В. Особенности применения интерферометра белого света для контроля качества прецизионных поверхностей и лазерных зеркал // Труды XI Межвузовской научной школы молодых специалистов «Концентрированные потоки энергии в космической технике, электронике, экологии и медицине». - 2009. - С. 1-6.

103. Патент РФ № 2718404 от 02.03.2020. Способ измерения микрорельефа разнородной поверхности / Дедкова А.А., Дюжев Н.А.

104. Соснова А.Н. (ред.), Мазурова Е.В. и др. (пер. с англ.) Оптический производственный контроль. - М.: Машиностроение, 1985. - 400 с.

105. Yun H.M., Chao L.P., Hsu J.S. Appling of new optical measurement and theory in mechanical properties of thin film // Applied Mechanics and Materials. - 2012. -Vol. 121/126. - P. 4295-4299.

106. Егоров Г.П. Механические напряжения в металлических пленках при магнетронном осаждении: дисс. ... к.ф.-м.н.: 01.04.07 - М., 2018. - 154 с.

107. Пилипенко В.А., Петлицкий А.Н., Горушко В.А., Шведов С.В., Понарядов В.В. Метод и установка контроля плоскостности кремниевых пластин // Приборы и методы измерений. - 2011. - № 1. - C. 71-76.

108. Сенько С.Ф., Зеленин В.А. Измерение локальных остаточных напряжений в полупроводниковых кремниевых структурах // Приборы и методы измерений. - 2018. -Т. 9, № 3. - С. 254-262.

109. Сенько С.Ф., Сенько А.С., Зеленин В.А. Количественный контроль топографических дефектов полупроводниковых пластин кремния // Доклады БГУИР. -2018. - Т. 115, № 5. - C. 12-18.

110. Freund L.B., Suresh S. Thin film materials: stress, defect formation and surface evolution. - Cambridge: Cambridge University Press, 2003. - 750 p.

111. Rosakis A.J., Singh R.P., Tsuji Y., Kolawa E., Moore N.R. Jr. Full field measurements of curvature using coherent gradient sensing: application to thin film characterization // Thin Solid Films. - 1998. - Vol. 325, No. 1/2. - Р. 42-54.

112. Van Dijk L., Mileham J., Malakhovsky I., Laidler D., Dekkers H., van Elshocht S., Anberg D.D., Owen D.M., van Haren R. Wafer-shape based in-plane distortion predictions using superfast 4G metrology // Proceedings of SPIE. - 2017. - Vol. 10145. - # 101452L.

113. Dong X., Zhang C., Feng X., Hwang K.-C. Full-field measurement of topography and curvature by coherent gradient sensing method at high temperature // Experimental Mechanics. - 2013. - Vol. 53, No. 6. - P. 959-963.

114. Dong X., Feng X., Hwang K.-C., Ma S., Ma Q. Full-field measurement of nonuniform stresses of thin films at high temperature // Optics Express. - 2011. - Vol. 19, No. 14. - P. 13201-13208.

115. Zhang C., Qu Z., Fang X., Feng X., Hwang K.-C. Digital gradient sensing method to evaluate thermal stress at elevated temperatures // Experimental Mechanics. - 2016. -Vol. 56, No. 6. - P. 1123-1132.

116. Гужов В.И., Ильтимиров Д.В., Хайдуков Д.С., Чернов О.В., Полубинский С.Л. Модификация оптических микроскопов // Автоматика и программная инженерия. - 2016. - № 2(16). - С. 71-76.

117. Лопарев А.В., Правдивцев А.В., Игнатьев П.С., Индукаев К.В., Осипов П.А., Ромаш Е.В. Метрологическая платформа с модуляционным интерференционным микроскопом // Оптический журнал. - 2012. - Т. 79, № 6. - С. 79-85.

118. Gwyddion. - http://gwyddion.net.

119. Сташков А.Н., Сомова В.М., Корх Ю.В., Огнева М.С., Сташкова Л.А., Сажина Е.Ю. Магнитная и акустическая методики определения изменения фазового состава и динамики разрушения пластически деформированной бескобальтовой мартенситно-стареющей стали // Дефектоскопия. - 2015. - № 7. - С. 54-68.

120. Kim J.H., Chung K. Thermo-mechanical constitutive equations for glass and its numerical formulation for warpage analysis of silicon-glassmultilayered structure // International Journal of Plasticity. - 2015. - Vol. 75. - P. 204-225.

121. Грибко В.В., Маркелов А.С., Трушин В.Н., Чупрунов Е.В. Управление кривизной поверхности дифракционных элементов // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2017. - № 5. - С. 28-32.

122. Финогенов Л.В., Завьялов П.С., Карлин В.Э., Хакимов Д.Р. Высокопроизводительный оптико-электронный контроль боковой поверхности топливных таблеток с определением глубины дефектов // Датчики и системы. - 2016. -№ 7 (205). - С. 53-59.

123. Булычев О.А., Шлеенков С.А., Гусев О.А., Шлеенков А.С. Выявление поверхностных микротрещин в металлических изделиях с помощью возбуждения высокочастотными вихревыми токами и визуализацией инфракрасной камерой // Дефектоскопия. - 2016. - № 8. - С. 47-54.

124. Якимчук И.В., Бузмаков А.В., Андреев А.В., Асадчиков В.Е. Исследование качества вогнутых сферических поверхностей скользящим пучком рентгеновского излучения // Кристаллография. - 2012. - Т. 57, № 2. - С. 341-344.

125. Liu H., Dong Z., Kang R., Zhou P., Gao S. Analysis of factors affecting gravity-induced deflection for large and thin wafers in flatness measurement using three-point-support method // Metrol. Meas. Syst. - 2015. - Vol. XXII, No. 4. - P. 531-546.

126. Ostrowicki G.T., Gurum S.P., Nangia A. Correlated model for wafer warpage prediction of arbitrarily patterned films // 2018 IEEE 68th Electronic Components and Technology Conference. - 2018. - P. 2110-2114.

127. Shi D., Xia Z., Hu M., Mei G., Huo Z. A novel solution to improve saddle-shape warpage in 3D NAND flash memory // Semicond. Sci. Technol. - 2020. - Vol. 35, No. 4. -# 045031.

128. Ferrandon C., KhoIti B., Castagne L., Casset F., Franiatte R., Mermin D., Simon G., Imbert G., Petitdidier S., Bailly F., Chevalier P., Toffanin L., Chevrier N., Pierrel J.P. Warpage reduction using dielectric layers stress tuning: from analytical model to 3D integration of large die on ceramic substrate // 2016 6th Electronic System-Integration Technology Conference (ESTC). - 2016. - # 16520083.

129. Zhu X., Chen X., Liu H., Kang R., Zhang B., Dong Z. An empirical equation for prediction of silicon wafer deformation // Mater. Res. Express. - 2017. - Vol. 4. - # 065904.

130. Gadhiya G., Bramer B., Rzepka S., Otto T. Assessment of FOWLP process dependent wafer warpage using parametric FE study // 2019 22nd European Microelectronics and Packaging Conference & Exhibition (EMPC). - 2019. - # 19265124.

131. Kim Y., Kang S.-K., Kim S.-D., Kim S.E. Wafer warpage analysis of stacked wafers for 3D integration // Microelectronic Engineering. - 2012. - Vol. 89. - P. 46-49.

132. Ахсахалян А.А., Ахсахалян А.Д., Волгунов Д.Г., Зорина М.В., Торопов М.Н., Чхало Н.И. Сравнительные характеристики оптических методов измерения формы поверхности в ИФМ РАН // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2015. - № 7. - С. 93-96.

133. Грибко В.В., Маркелов А.С., Трушин В.Н., Чупрунов Е.В. Исследование влияния формы подложки регулятора изгиба на кривизну профиля рентгенооптических элементов // Приборы и техника эксперимента. - 2018. - № 1. - С. 136-140.

134. Грибко В.В., Маркелов А.С., Трушин В.Н., Чупрунов Е.В. Управление профилем и кривизной поверхности монокристаллических пластин рентгенооптических элементов с использованием пьезоэлектрических биморфов // Приборы и техника эксперимента. - 2019. - № 5. - С. 119-124.

135. Зорина М.В., Нефедов И.М., Пестов А.Е., Салащенко Н.Н., Чурин С.А., Чхало Н.И. Прецизионная асферизация поверхности оптических элементов ионно-пучковым травлением // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2015. - № 8. - С. 9-15.

136. Клюенков Е.Б., Полковников В.Н., Салащенко Н.Н., Чхало Н.И. Коррекция формы поверхностей с субнанометровой точностью. Проблемы, статус, перспективы // Известия РАН. Серия физическая. - 2008. - Т. 72, № 2. - С. 205-208.

137. Салащенко Н.Н., Торопов М.Н., Чхало Н.И. Физические ограничения точности измерений интерферометров с дифракционной волной сравнения // Известия РАН. Серия физическая. - 2010. - Т. 74, № 1. - С. 62-65.

138. Ахсахалян А.А., Ахсахалян А.Д., Гарахин С.А., Ерхова Н.Ф., Кириченко А.С., Кузин С.В., Салащенко Н.Н., Торопов М.Н., Чхало Н.И. Изготовление и исследование свойств вогнутого кристаллического зеркала для проекта КОРТЕС // Журнал технической физики. - 2019. - Т. 89, № 11. - С. 1770-1773.

139. Чернышев А.К., Малышев И.В., Пестов А.Е., Чхало Н.И. Моделирование процесса коррекции локальных ошибок формы поверхности малоразмерным ионным пучком // Журнал технической физики. - 2019. - Т. 89, № 11. - С. 1650-1655.

140. Le X.L., Kim K., Choa S.H. Analysis of temperature stability and change of resonant frequency of a capacitive MEMS accelerometer // International Journal of Precision Engineering and Manufacturing. - 2022. - 13 pp.

141. Balderrama V.S., Leon-Gil J.A., Fernandez-Benavides D.A., Ponce-Hernandez J., Bandala-Sanchez M. MEMS piezoresistive pressure sensor based on flexible PET thin-film for

183

applications in gaseous-environments // IEEE Sensors Journal. - 2022. - Vol. 22, No. 3. -P. 1939-1947.

142. Sharma R., Yadav I., Meena A., Kumar M., Saxena R., Jain K.K. Design of continuous membrane surface micromachined silicon deformable mirror for adaptive optics // The Physics of Semiconductor Devices. - 2019. - Vol. 215. - P. 855-861.

143. Krysko A.V., Awrejcewicz J., Papkova I.V., Krysko V.A. Stability improvement of flexible shallow shellsusing neutron radiation // Materials. - 2020. - Vol. 13. - # 3187.

144. Pollock C., Morrison J., Imboden M., Little T.D.C., Bishop D.J. Beam shaping with tip-tilt varifocal mirror for indoor optical wireless communication // Optics express. -2017. - Vol. 25, No. 17. - P. 20274-20285.

145. Радзевич С.П. Формообразование поверхностей деталей (Основы теории). -Киев: Растан, 2001. - 592 с.

146. Нгуен Ш.Х. О классификации поверхностей свободной формы: обзор // Новая наука: Современное состояние и пути развития. - 2016. - № 12-4. - С. 99-105.

147. Пономарев Б.Б., Нгуен Ш.Х. Алгоритм разбиения поверхностей свободной формы по значениям кривизны при подготовке управляющих программ для станков с ЧПУ // Вестник Иркутского государственного технического университета. - 2018. -Т. 22, № 4 (135). - С. 62-72.

148. Machado G., Favier D., Chagnon G. Membrane curvatures and stress-strain full fields of axisymmetric bulge tests from 3D-DIC measurements. Theory and validation on virtual and experimental results // Experimental Mechanics. - 2012. - Vol. 52. - P. 865-880.

149. Brown A.M. Measuring stress in thin film - substrate systems featuring spatial nonuniformities of film thickness and/or misfit strain: Ph.D. Thesis. - Pasadena, 2007. - 118 p.

150. International Roadmap for Devices and SystemsTM (IRDS). 2021 Edition. Executive Summary. The Institute of Electrical and Electronics Engineers, Incorporated -2021. - 55 p.

151. Кудрявцев С.Г., Сердюков В.Н. Сопротивление материалов. Интернет-тестирование базовых знаний: Учебное пособие. - Спб.: Издательство «Лань», 2015. -176 с.

152. Олофинская В.П. Теоретическая механика: Курс лекций с вариантами практических и тестовых заданий: учебное пособие. - М.: ФОРУМ, 2017. - 352 с.

153. Механические напряжения в тонких пленках (Реферативно-аналитический обзор). Выпуск 8 (798). Серия 2 «Полупроводниковые приборы». - М.: ЦНИИ «Электроника», 1981. - 63 с.

154. Шугуров А.Р. Влияние кривизны границы раздела пленка/подложка на закономерности деформации и разрушения тонких металлических пленок и керамических покрытий при внешних воздействиях: дисс. ... д.ф.-м.н.: 01.04.07. -Томск, 2016. - 367 с.

155. Асташенкова О.Н. Физико-технологические основы управления механическими напряжениями в тонкопленочных композициях микромеханики: дисс. ... к.т.н.: 05.27.06 - СПб., 2015. - 143 с.

156. Патент РФ № 2624611 от 04.07.2017. Способ измерения механических напряжений в МЭМС структурах / Гусев Е.Э., Дюжев Н.А., Дедкова А.А., Патюков Н.Н.

157. Djuzhev N.A., Gusev E.E., Dedkova A.A., Patiukov N.N. Determination of mechanical stress in the silicon nitride films with a scanning electron microscope // Proceedings of SPIE - The International Society for Optical Engineering. - 2016. -Vol. 10224. - # 1022428.

158. Djuzhev N.A., Gusev E.E., Dedkova A.A., Patiukov N.N. Determination of mechanical stress in the silicon nitride films with a scanning electron microscope // Book of Abstracts. Micro- and Nanoelectronics (ICMNE): Proceedings of the International Conference. - 2016. - P. 130.

159. Дедкова А.А., Дюжев Н.А., Гусев Е.Э., Махиборода М.А., Патюков Н.Н. Измерение механических напряжений в фрагменте пленки нитрида кремния // Наноструктуры. Математическая физика и моделирование. - 2017. - Т. 17, № 1 (31). -С. 51-64.

160. Айвазян Г.Е. Об определении внутренних напряжений в системе пленка -подложка // Известия НАН РА и ГИУА. Сер. ТН. - 2000. - Т. LIII, № 1. - С. 63-67.

161. Glang R., Holmwood R.A., Rosenfeld R.L. Determination of stress in films on single crystalline silicon substrates // Review of Scientific Instruments. - 1965. - Vol. 36, No. 7. - P. 7-11.

162. Новак А.В., Новак В.Р., Дедкова А.А., Гусев Е.Э. Зависимость механических напряжений в пленках нитрида кремния от режимов плазмохимического осаждения // Известия высших учебных заведений. Электроника. - 2017. - Т. 22, № 2. - С. 138-146 / В переводе: Novak A.V., Novak V.R., Dedkova A.A., Gusev E.E. Dependence of mechanical stresses in silicon nitride films on the mode of plasma-enhanced chemical vapor deposition // Semiconductors. - 2018. - Vol. 52, No. 15. - P. 1953-1957.

163. Маркочев В.М., Егоров Г.П. Деформации и напряжения в консольной системе «пленка - подложка» // Заводская лаборатория. Диагностика материалов. -2018. - T. 84, № 3. - C. 61-67.

164. Mallik A., Stout R., Ackaert J. Finite-element simulation of different kinds of wafer warpages: spherical, cylindrical, and saddle // IEEE Transactions on Components, Packaging and Manufacturing Technology. - 2014. - Vol. 4, No. 2. - P. 240-247.

165. Big S., Wurster S., Cordill M.J., Kiener D. Substrate-influenced thermo-mechanical fatigue of copper metallizations: limits of Stoney's equation // Materials. - 2017. -Vol. 10 - # 1287.

166. Струнин В.И., Худайбергенов Г.Ж. Методика измерения механических напряжений в тонких пленках // Омские научные чтения - 2018: Материалы II Всероссийской научной конференции. - Омск: ОГУ, 2018. - C. 644-647.

167. Picciotto A., Bagolini A., Bellutti P., Boscardin M. Influence of interfaces density and thermal processes on mechanical stress of PECVD silicon nitride // Applied Surface Science. - 2009. - Vol. 256. - P. 251-255.

168. Stenzel O., Wilbrandt S., Kaiser N., Vinnichenko M., Munnik F., Kolitsch A., Chuvilin A., Kaiser U., Ebert J., Jakobs S., Kaless A., Wuthrich S., Treichel O., Wunderlich B., Bitzer M., Grossl M. The correlation between mechanical stress, thermal shift and refractive index in HfO2, Nb2O5, Ta2O5 and SiO2 layers and its relation to the layer porosity // Thin Solid Films. - 2009. - Vol. 517. - P. 6058-6068.

169. Bouaouina B., Besnard A., Abaidia S.E., Airoudj A., Bensouici F. Correlation between mechanical and microstructural properties of molybdenum nitride thin films deposited on silicon by reactive R.F. magnetron discharge // Surface & Coatings Technology. - 2018. -Vol. 333. - P. 32-38.

170. Klose Ph., Hamm M., Roddatis V., Pundt A. Influence of steel on the mechanical stress development during hydrogen-loading of ultrathin Nb-films // International Journal of Hydrogen Energy. - 2017. - Vol. 42. - P. 22583-22583.

171. Krawiec H., Vignal V., Krystianiak A., Gaillard Y., Zimowski S. Mechanical properties and corrosion behavior after scratch and tribological tests of electrodeposited Co-

185

Mo/TiO2 nano-composite coatings // Applied Surface Science. - 2019. - Vol. 475. - P. 162174.

172. Shinohara A., Kobayashi T., Shohji I., Umemura Y. Investigation of crack initiation in glass substrate by residual stress analysis // Materials Science Forum. - 2018. -Vol. 941. - P. 2069-2074.

173. Liu D.Y., Chen W.Q. Thermal stresses in bilayer systems with weak interface // Mechanics Research Communications. - 2010. - Vol. 37, No. 6. - P. 520-524.

174. Wang T.-G., Zhao S.-S., Hua W.-G., Li J.-B., Gong J., Sun C. Estimation of residual stress and its effects on the mechanical properties of detonation gun sprayed WC-Co coatings // Materials Science and Engineering: A. - 2010. - Vol. 527, No. 3. - P. 454-461.

175. Zhang N.-H., Chen J.-Z. Elastic bending analysis of bilayered beams by an alternative two-variable method // European Journal of Mechanics - A/Solids. - 2009. -Vol. 28, No. 2. - P. 284-288.

176. Zhang X.C., Xu B.S., Wang H.D., Wu Y.X., Jiang Y. Underlying mechanisms of the stress generation in surface coatings // Surface and Coatings Technology. - 2007. -Vol. 201, No. 15. - P. 6715-6718.

177. Benabdi M., Roche A.A. Mechanical properties of thin and thick coatings applied to various substrates. Part I. An elastic analysis of residual stresses within coating materials // Journal of Adhesion Science and Technology. - 1997. - Vol. 11, No. 2. - P. 281-299.

178. Коваленко Д.А., Петров В.В. Исследование внутренних механических напряжений, возникающих в структурах Si-SiO2-U,TC // Журнал нано- и электронной физики. - 2015. - T. 7, № 3. - # 03036.

179. Chou T.-L., Yang S.-Y., Chiang K.-N. Overview and applicability of residual stress estimation of film-substrate structure // Thin Solid Films. - 2011. - Vol. 519, No. 22. -P. 7883-7894.

180. Joseph S., Gleizer A., Yadlovker D., Shinman A. Stress analysis of optical coatings for improved durability and performance of high end optical components // Proceedings of SPIE. - 2015. - Vol. 9453. - # 94530R.

181. Бут Д.К., Бычков П.С., Лычев С.А. Теоретическое и экспериментальное исследование изгиба тонкой подложки при электролитическом осаждении // Вестник Пермского национального исследовательского политехнического университета. Механика. - 2020. - № 1. - С. 17-31.

182. Бычков П.С., Лычев С.А., Бут Д.К. Экспериментальная методика определения эволюции формы изгиба тонкой подложки при электрокристаллизации меди в областях сложной формы // Вестник Самарского университета. Естественнонаучная серия. - 2019. - Т. 25, № 4. - С. 48-73.

183. Иванов А.О., Тужилин А.А. Лекции по классической дифференциальной геометрии. - 243 с. - http://dfgm.math.msu.su/files/IvaTuzTerm1-2017.pdf.

184. Скопенков А.Б. Основы дифференциальной геометрии в интересных задачах. - М.: МЦНМО, 2009. - 72 с.

185. Дюжев Н.А., Махиборода М.А., Преображенский Р.Ю., Демин Г.Д., Гусев Е.Э., Дедкова А.А. Разработка и исследование концептуальной модели рентгеновского источника с автоэмиссионным катодом // Поверхность. Рентгеновские, синхротронные и нейтронные исследования. - 2017. - № 4. - С. 64-70 / В переводе: Djuzhev N.A., Makhiboroda M.A., Preobrazhensky R.Y., Demin G.D., Gusev E.E., Dedkova A.A. Development and study of a conceptual model of an X-ray source with a field emission cathode // Journal of Surface Investigation: X-ray, Synchrotron and Neutron Technique. - 2017. - Vol. 11, No 2. - Р. 443-448.

186

186. Дюжев Н.А., Махиборода М.А., Преображенский Р.Ю., Гусев Е.Э., Дедкова А.А. Разработка, изготовление и исследование интегрального автоэмиссионного узла с наноразмерным кремниевым катодом // Сборник материалов XX Международного симпозиума «Нанофизика и наноэлектроника». - 2016. - Т. 2. -С. 572-573.

187. Дюжев Н.А., Гусев Е.Э., Дедкова А.А., Товарнов Д.А., Махиборода М.А. Экспериментальное определение механических свойств анодного элемента рентгеновского литографа // Журнал технической физики. - 2020. - Т. 90, № 11. -С. 1838-1842. / В переводе: Djuzhev N.A., Gusev E.E., Dedkova A.A., Tovarnov D.A., Makhiboroda M.A. Experimental determination of mechanical properties of the anode cell of an X-ray lithograph // Technical Physics. - 2020. - Vol. 65, No. 11. - P. 1755-1759.

188. Nazarov A., Abdulhalim I., Universal form of the equations governing membrane deformation under hydrostatic pressure for simpler design of sensors and tunable optical devices // Sensors and Actuators A: Physical. - 2017. - Vol. 257. - P. 113-117.

189. Zorman C.A., Mehregany M. Material aspects of micro- and nanoelectromechanical systems // Springer Handbook of Nanotechnology. Berlin: SpringerVerlag. - 2007. - P. 333-356.

190. Амеличев В.В., Генералов С.С., Никифоров С.В. Создание диэлектрических мембран с низким уровнем механических напряжений // Новое слово в науке: перспективы развития. - 2015. - № 4(6). - С. 127-128.

191. Zhao F. Nonlinear solutions for circular membranes and thin plates // Proceedings Modeling, Signal Processing, and Control for Smart Structures. - 2008. - Vol. 6926. -# 69260W.

192. Plaut R.H. Linearly elastic annular and circular membranes under radial, transverse, and torsional loading. Part I: large unwrinkled axisymmetric deformations // Acta Mech. - 2009. - Vol. 202. - P. 79-99.

193. Neggers J., Hoenagels J.P.M., Hild F., Roux S., Geers M.G.D. Direct stress-strain measurements from bulged membranes using topography image correlation // Experimental Mechanics. - 2014. - Vol. 54, Is.5. - P. 717-727.

194. Андреева Л.Е. Упругие элементы приборов. - М.: Машгиз, 1962. - 456 с.

195. Тимошенко С.П., Войновский-Кригер С., Пластины и оболочки. - М.: Наука, 1966. - 636 с.

196. Dedkova A.A., Glagolev P.Yu., Demin G.D., Gusev E.E., Skvortsov P.A. Mechanical stresses analysis of thin round membranes in the case of large deflections // Proceedings of the 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2020. - P. 2288-2292.

197. Degen A., Voigt J., Sossna B., Shi F., Rangelow I.W. Improvements of the membrane bulging method for stress determination of silicon open stencil masks for ion projection lithography // Proceedings of SPIE. - 2000. - Vol. 3996. - P. 97-104.

198. Small M.K., Nix W.D. Analysis of the accuracy of the bulge test in determining the mechanical properties of thin films // J. Mater. Res. - 1992. - Vol. 7, No. 6. - P. 15531563.

199. Патент РФ № 2387973 от 27.04.2010. Способ определения прочностных свойств тончайших пленок и нанопленок и устройство для его осуществления / Якупов Н.М., Куприянов В.Н., Нуруллин Р.Г., Якупов С.Н.

200. Патент Китая CN 101520385 от 02.09.2009. Method for testing mechanical property and reliability of thin film material of micro-electromechanical system (MEMS) and device thereof / Yang J., Zhou W., Yang F.

201. Гоц А.Н. Численные методы расчета в энергомашиностроении: учеб. Пособие. В 2 ч. Ч. 2. - Владимир: Изд-во ВлГУ, 2013. - 182 с.

202. Саченков А.А. Цикл лекций по теории пластин и оболочек: учебное пособие.

- Казань: Казан. ун-т, 2018. - 136 с.

203. Корляков А.В. Сверхтонкие мембраны в микросистемной технике // Нано- и микросистемная техника. - 2007. - № 8. - С. 17-26.

204. Хребтова Е.Д. Разработка методики измерения механических характеристик мембранных элементов: вып. квал. р. магистра. - СПб., 2017. - 99 с.

205. Биргер И.А. Остаточные напряжения. - Ленинград: Красный печатник, 1963.

- 233 с.

206. Fachin F., Nikles S.A., Dugundji J., Wardle B.L. Analytical extraction of residual stresses and gradients in MEMS structures with application to CMOS-layered materials // J. Micromech. Microeng. - 2011. - Vol. 21. - # 095017.

207. Патент РФ № 2327252 от 20.06.2008. Чувствительный элемент мембранного типа и способ его изготовления / Кривошеева А.Н., Корляков А.В., Лучинин В.В., Ефременко А.М.

208. Под ред. Майсела Л., Глэнга Р. Пер. с англ. Елинсона М.И., Смолко Г.Г. Технология тонких пленок (справочник). М.: Сов. радио, 1977. - 664 с.

209. Галкина М.Е. Внутренние напряжения в углеродных конденсатах, формируемых импульсным вакуумно-дуговым методом: дисс. ... к.ф.-м.н.: 01.04.07. -Белгород, 2005. - 165 с.

210. Якупов Н.М., Куприянов В.Н., Якупов С.Н. К исследованию механических характеристик пленок и пленочных структур // Известия Казанского государственного архитектурно-строительного университета. - 2008. - Т. 1 (9). - С. 106-112.

211. Ганеева М.С., Моисеева В.Е., Скворцова З.В. Численное исследование нелинейного изгиба разрывных предохранительных мембран под действием давления и температуры рабочей среды // Ученые записки Казанского университета. Серия физико-математические науки. - 2018. - Т. 160, кн. 4. - С. 670-680.

212. Гетман И.П., Карякин М.И., Мостипан Г.О., Панфилов И.А., Устинов Ю.А. Некоторые задачи устойчивости оболочек со сложной геометрией и физико-механическими свойствами // Известия вузов. Северо-кавказский регион. Естественные науки. - 2011. - Т. 4. - С. 24-31.

213. Jianbing S., Xiang L., Sufang X., Wenjia W. Mechanical property analysis of circular polymer membrane under uniform pressure // International Journal of Polymer Science. - 2017. - # 4183686.

214. Rosakis P. Continuum surface energy from a lattice model // Networks and Heterogeneous Media. - 2014. - Vol. 9, No. 3. - P. 453-476.

215. Kardar M., Parisi G., Zhang I.-Ch. Dynamic scaling of growing interfaces // Physical Review Letters. - 1986. - Vol. 56, No. 9. - P. 889-892.

216. Braun J., Schmidt B. Existence and convergence of solutions of the boundary value problem in atomistic and continuum nonlinear elasticity theory // Calc. Var. - 2016. - Vol. 55, No. 5. - # 125.

217. Theil F. Surface energies in a two-dimensional mass-spring model for crystals // ESAIM: M2AN. - 2011. - Vol. 45, No. 5. - P. 873-899.

218. Лычев С.А., Лычева Т.Н., Манжиров А.В. Нестационарные колебания растущей круглой пластины // Известия Российской академии наук. Механика твердого тела. - 2011. - № 2. - С. 199-208.

219. Lychev S. Equilibrium equations for transversely accreted shells // ZAMM Zeitschrift fur Angewandte Mathematik und Mechanik. - 2014. - Vol. 94, No. 1-2. - P. 118129.

220. Дедкова А.А., Дюжев Н.А., Гусев Е.Э., Штерн М.Ю. Оперативная неразрушающая методика анализа прогиба мембран, расположенных на пластине // Дефектоскопия. - 2020. - Т. 5, № 5. - С. 52-59. // В переводе: Dedkova A.A., Dyuzhev N.A., Gusev E.E., Shtern M.Yu. Fast nondestructive technique for analyzing deflection of membranes located on the substrate // Russian Journal of Nondestructive Testing.

- 2020. - Vol. 56, No. 5. - P. 452-459.

221. Дедкова А.А., Глаголев П.Ю., Гусев Е.Э., Дюжев Н.А., Киреев В.Ю., Лычев С.А., Товарнов Д.А. Особенности деформирования круглых тонкопленочных мембран и экспериментальное определение их эффективных характеристик // Журнал технической физики. - 2021. - Т. 91, № 10. - С. 1454-1465.

222. Brotzen F.R. Mechanical testing of thin films // International Materials Reviews. -1994. - Vol. 39, No. 1. - P. 24-45.

223. Погорелов А.В. Дифференциальная геометрия. Изд. 6. - М.: Наука, 1974. -

176 с.

224. Позняк Э.Г., Шикин Е.В. Дифференциальная геометрия: первое знакомство.

- М.: МГУ, 1990. - 384 с.

225. Shary P.A. Land surface in gravity points classification by a complete system of curvatures // Mathematical Geology. - 1995. - Vol. 27, No. 3. - P. 373-390.

226. Shary P.A., Sharaya L.S., Mitusov A.V. Fundamental quantitative methods of land surface analysis // Geoderma. - 2002. - Vol. 107, No. 1/2. - P. 1-32.

227. Florinsky I.V. Digital Terrain Analysis in Soil Science and Geology. 2nd ed. -Amsterdam: Elsevier / Academic Press, 2016. - 486 p.

228. Hengl T., Reuter H.I. (Eds.), Geomorphometry: Concepts, Software, Applications.

- Amsterdam: Elsevier, 2009. - 796 p.

229. Флоринский И.В. Иллюстрированное введение в геоморфометрию // Альманах пространство и время. - 2016. - Т. 11, № 1. - 20 с.

230. Florinsky I.V. An illustrated introduction to general geomorphometry // Progress in Physical Geography. - 2017. - Vol. 41, No. 6. - P. 723-752.

231. Флоринский И.В. Геоморфометрия сегодня // ИнтерКарто. ИнтерГИС. -2021. - Т. 27, № 2. - С. 394-448.

232. Грачев А Ф, Мухамедиев Ш А, Николаев В А Геометрические характеристики новейших тектонических движений земной коры Северной Евразии // Российский журнал наук о Земле. - 2000. - Т. 2, № 1. - С. 1-35.

233. Mynatt I, Bergbauer S, Pollard D D Using differential geometry to describe 3-D folds // Journal of Structural Geology. - 2007. - Vol. 29. - P. 1256-1266.

234. Pellis D., Pottmann H. Aligning principal stress and curvature directions // Advances in Architectural Geometry. - 2018. - P. 34-52.

235. de Galarreta S.R., Cazon A., Anton R., Finol E.A. The Relationship between surface curvature and abdominal aortic aneurysm wall stress // Journal of Biomechanical Engineering. - 2017. - Vol. 139(8). - # 181006.

236. Seffen K.A., Guest S.D. Prestressed morphing bistable and neutrally stable shells // Journal of Applied Mechanics. - 2011. - Vol. 78, No. 1 . - # 011002.

237. Seffen K.A., McMahon R.A. Heating of a uniform wafer disk // International Journal of Mechanical Sciences. - 2007. - Vol. 49, No. 2. - P. 230-238.

238. Guest D.S., Kebadze E., Pellegrino S. A Zero-stiffness elastic shell structure // Journal of Mechanics of Materials and Structures. - 2011. - Vol. 6. - P. 203-212.

239. Seffen K.A., Maurini C. Growth and shape control of disks by bending and extension // Journal of the Mechanics and Physics of Solids. - 2013. - Vol. 61. - P. 190-204.

240. Seffen K.A. Curling of a heated annulus // Journal of Applied Mechanics. - 2016.

- Vol. 83. - # 021005.

241. Sobota P.M., Seffen K.A. Effects of boundary conditions on bistable behaviour in axisymmetrical shallow shells // Proceedings of the Royal Society A. - 2017. - Vol. 473, No. 2203. - # 20170230.

242. Pezzulla M., Smith G.P., Nardinocchib P., Holmes D.P. Geometry and mechanics of thin growing bilayers // Soft Matter. - 2016. - Vol. 12, No. 19. - P. 4435-4442.

243. Fernandes A., Maurini C., Vidoli S. Multiparameter actuation for shape control of bistable composite plates // International Journal of Solids and Structures. - 2010. - Vol. 47, No. 10. - P. 1149-1458.

244. Hamouche W., Maurini C., Vidoli S., Vincenti A. Multi-parameter actuation of a neutrally stable shell: a flexible gear-less motor // Proceedings of the Royal Society A. - 2017.

- Vol. 473, No. 2204. - # 20170364.

245. Hamouche W., Maurini C., Vincenti A., Vidoli S. Basic criteria to design and produce multistable shells // Meccanica. - 2016. - Vol. 51, No. 10. - P. 2305-2320.

246. Pike R.J. Digital terrain modelling and industrial surface metrology - converging crafts // International Journal of Machine Tools and Manufacture. - 2001. - Vol. 41, No. 13/14. - P. 1881-1888.

247. Pike R.J. Digital Terrain Modeling and Industrial Surface Metrology: Converging Realms // The Professional Geographer. - 2001. - Vol. 53. - P. 263-274.

248. Свидетельство о государственной регистрации программы для ЭВМ № 2018665456 от 05.12.2018, РФ. Программа обработки фрагментарных изображений для анализа рельефа на структурах большой площади / Дедкова А.А., Гусев Е.Э., Дюжев Н.А.

249. Программа LandLord 4.0 И.В. Флоринский, 2004-2019. http://iflorinsky. impb.ru/ll-ru.htm.

250. Dedkova A.A., Florinsky I.V., Djuzhev N.A. Analysis of topography of silicon wafers and wafer-based structures by geomorphometric modeling // Geomorfometry. - 2021. -# 1-4.

251. Florinsky I.V., Pankratov A.N. A universal spectral analytical method for digital terrain modeling // International Journal of Geographical Information Science. - 2016. -Vol. 30 (12). - P. 2506-2528.

252. Свидетельство о государственной регистрации программы для ЭВМ № 2020663889 от 03.11.2020, РФ. Программа сглаживания ступенчатой топографии поверхности на основе полиномов / Дедкова А.А.

253. Свидетельство о государственной регистрации программы для ЭВМ № 2020663887 от 03.11.2020, РФ. Программа определения радиуса кривизны по топографии поверхности, форма которой подобна сферическому сегменту / Дедкова А.А.

254. Свидетельство о государственной регистрации программы для ЭВМ № 2020663855 от 03.11.2020, РФ. Программа расчета радиуса кривизны по профилю поверхности, форма которого подобна профилю сферического сегмента / Дедкова А.А.

255. Свидетельство о государственной регистрации программы для ЭВМ № 2016611518 от 04.02.2016, РФ. Программа анализа рельефа с целью расчета кривизны

190

поверхности в выбранном направлении на кремниевой пластине / Гусев Е.Э., Дедкова А.А., Дюжев Н.А., Чиненков М.Ю.

256. Dunn M.L., Zhang Y., Bright V.M. Deformation and structural stability of layered plate microstructures subjected to thermal loading // Journal of Microelectromechanical Systems. - 2002. - Vol. 11, No. 4. - P. 372-384.

257. Свидетельство о государственной регистрации программы для ЭВМ № 2020664091 от 06.11.2020, РФ. Программа определения локальных радиусов кривизны в двух направлениях / Дедкова А.А.

258. Eckstein E., Pirrera A., Weaver P.M. Thermally driven morphing and snap-through behavior of hybrid laminate shells // AIAA Journal. - 2016. - Vol. 54, No. 5. - P. 1-11.

259. Sobota P.M., Seffen K.A. Bistable polar-orthotropic shallow shells // Royal Society Open Science. - 2019. - Vol. 6. - No. 8. - # 190888.

260. Свидетельство о государственной регистрации программы для ЭВМ № 2020664130 от 09.11.2020, РФ. Программа расчета кривизны в цилиндрических и в декартовых координатах / Дедкова А.А.

261. Dedkova A.A., Florinsky I.V., Djuzhev N.A. Techniques for analyzing digital elevation models of surface topography of microelectronics objects // Proceedings of SPIE -The International Society for Optical Engineering. - 2022. - Vol. 12157. - # 121571K.

262. Evans I.S. General geomorphometry, derivatives of altitude, and descriptive statistics // Spatial Analysis in Geomorphology. - 1972. - P. 17-90.

263. Филимоненкова Н.В., Данилова К.А., Миронова П.Н. Исследование эффекта Гиббса при аппроксимации разрывных функций и обработке контрастных изображений // Актуальные направления научных исследований XXI века: теория и практика. - 2014. - Т. 2, № 4-1 (9-1). - С. 353-357.

264. Флоринский И.В. Теория и приложения математико-картографического моделирования рельефа: дисс. ... д.т.н.: 25.00.33. - Пущино, 2010. - 267 с.

265. Дедкова А.А., Флоринский И.В., Гусев Е.Э., Дюжев Н.А., Фомичев М.Ю., Штерн М.Ю. Методика анализа объемных дефектов по цифровой модели рельефа поверхности // Дефектоскопия. - 2021. - № 11. - С. 41-48. / В переводе: Dedkova A.A., Florinsky I.V., Gusev E.E., Dyuzhev N.A., Fomichev M.Yu., Shtern M.Yu. Technique for analyzing volumetric defects using digital elevation model of a surface // Russian Journal of Nondestructive Testing. - 2021. - V. 57, No. 11. - P. 1000-1007.

266. Favache A., Ryelandt S., Melchior M., Zeb G., Carbonnelle P., Raskin J.-P., Pardoen T. A generic «micro-Stoney» method for the measurement of internal stress and elastic modulus of ultrathin films // Review of Scientific Instruments. - 2016. - Vol. 87. -# 015002.

267. Poelma R.H., Sadeghian H., Noijen S.P.M., Zaal J.J.M. A numerical experimental approach for characterizing the elastic properties of thin films: application of nanocantilevers // Journal of Micromechanics and Microengineering. - 2011. - Vol. 21. - # 065003.

268. Laconte J., Iker F., Jorez S., Andre N., Proost J., Pardoen T. Thin films stress extraction using micromachined structures and wafer curvature measurements // Microelectronic Engineering. - 2004. - Vol. 76. - P. 219-226.

269. Ярема Д.М. Использование оптимальной фильтрации для восстановления зашумленных изображений // Россия молодая: передовые технологии - в промышленность. - 2013. - № 2. - С. 111-113.

270. Гонсалес Р., Вудс Р. Цифровая обработка изображений. - М.: Техносфера, 2005. - 1072 с.

271. http://portal.tpu.ru/SHARED/m7MBB/uchebnaya_rabota/Model/Tab/Interp_app.pdf.

191

272. Иткин В.Ю., Кочуева О.Н. Интерполяция и сглаживание данных в пакете Matlab. Учебное пособие для студентов инженерных специальностей. - М.: РГУ нефти и газа (НИУ) имени И.М. Губкина, 2016 - 62 с.

273. Маркелов К.Н., Ширков П.Д. Двумерный сглаживающий параметрический сплайн // МКО. - 2006. - Т. 1. - С. 248-259.

274. Масловская А.Г. Аппроксимация функций в задачах обработки экспериментальных данных. Учебное пособие - Благовещенск: Амурский гос. ун-т, 2021. - 51 c.

275. Цивин М.Н. Многофакторный эксперимент: графическая интерпретация данных. - Киев: ИГиМ, 2002. - 120 с.

276. Свидетельство о государственной регистрации программы для ЭВМ № 2020663804 от 02.11.2020, РФ. Программа обработки данных топографии поверхности для расчета кривизны поверхности / Дедкова А.А.

277. Патент Кореи KR 101421502 от 22.07.2014. Measuring method of curved surface using 2nd differential of a part area and system thereof / Chang K.B.

278. Патент СССР № 1379610 от 07.03.1988. Сферометр / Пизюта Б.А., Сырова Г.А., Шульженко П.Ф.

279. Патент РФ № 2679760 от 12.02.2019. Способ и устройство для определения локального механического напряжения в пленке на подложке / Гусев Е.Э., Дюжев Н.А.

280. Патент Кореи KR 101584723 от 15.01.2016. Measuring method of freeform curved surface using curvature of a part area / Chang K.B., Hee K.G.

281. Штерн М.Ю., Козлов А.О., Штерн Ю.И., Рогачев М.С., Корчагин Е.П., Мустафоев Б.Р., Дедкова А.А. Получение и исследование омических контактов с высокой адгезией к термоэлементам // Физика и техника полупроводников. - 2021. -Т. 55, № 12. - С. 1097-1104.

282. Иванин П.С., Гусев Е.Э., Фомичев М.Ю., Товарнов Д.А., Дедкова А.А. Исследование и разработка сквозных канавок на основе технологии временного бондинга для пластин диаметром 75 и 100 мм // Тезисы докладов 28-й Всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика». - 2021. - С. 22.

283. Fomichev M., Makhiboroda M., Djuzhev N., Gusev E., Dedkova A. Development of adhesive wafer bonding technology // Proceedings of the 2021 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2021. - P. 25582562.

284. Гусев Е.Э., Фомичев М.Ю., Махиборода М.А., Дедкова А.А. Технология временного бондинга для формирования СВЧ МЭМС-структур // Наноиндустрия. -2020. - Т. 13, № S5-2 (102). - С. 556-559.

285. Гусев Е.Э., Фомичев М.Ю., Махиборода М.А., Дедкова А.А. Технология временного бондинга для формирования СВЧ МЭМС-структур. // Наноиндустрия. Спецвыпуск. Сборник докладов конференции. Международный форум «Микро-электроника-2020». 6-я Международная научная конференция «Электронная компонентная база и электронные модули». - 2020. - Т. 13, № 4s (99). - C. 503-505.

286. Djuzhev N., Makhiboroda M., Gusev E., Fomichev M., Dedkova A., Ivanin P. Investigation of the influence of the parameters of the temporary bonding and thinning operations on the bending of silicon wafers // Book of Abstracts. Micro- and Nanoelectronics (ICMNE): Proceedings of the International Conference. - 2021. - P. 189.

287. Djuzhev NA., Makhiboroda MA., Gusev E^., Fomichev M.U., Dedkova AA. Investigation of the influence of the parameters of the temporary bonding and thinning

192

operations on the bending of silicon wafers // Proceedings of SPIE - The International Society for Optical Engineering. - 2022. - Vol. 12157. - # 1215712.

288. Gromov D., Borgardt N., Grishina Y., Dedkova A., Kirilenko E., Dubkov S. Study of growth kinetics of amorphous carbon nanopillars formed by PECVD // Proceedings of SPIE

- The International Society for Optical Engineering. - 2014. - Vol. 9440. - # 94400D.

289. Laconte J., Flandre D., Raskin J.-P. Micromachined thin-film sensors for SOI-CMOS Co-integration. - Dordrecht: Springer, 2006. - 290 p.

290. Sinha A.K., Levinstein H.J., Smith T.E. Thermal stresses and cracking resistance of dielectric films (SiN, Sis^, and SiO2) on Si substrates // J. of Appl. Phys. - 1978. - Vol. 49.

- P. 2423-2426.

291. Florinsky I.V. Errors of signal processing in digital terrain modelling // International Journal of Geographical Information Science. - 2002. - Vol. 16. - P. 475-501.

292. Mitasova H., Mitas L. Interpolation by regularized spline with tension: I. Theory and implementation // Mathematical Geology. - 1993. - Vol. 25. - P. 641-655.

293. Dedkova A.A., Florinsky I.V., Djuzhev N.A. Analysis of digital elevation models of silicon wafers and wafer-based structures // Book of Abstracts. Micro- and Nanoelectronics (ICMNE): Proceedings of the International Conference. - 2021. - P. 142.

294. Дедкова А.А., Флоринский И.В. Анализ рельефа кремниевых пластин методами геоморфометрии // Тезисы докладов 13-й Международной конференции «Интеллектуализация обработки информации». - 2020. - С. 244 / В переводе: Dedkova A.A., Florinsky I.V. Analysis of topography of silicon wafers by geomorphometric methods // Book of abstract of the 13 th International Conference «Intelligent Data Processing: Theory and Applications» - 2020. - P. 245.

295. Дедкова А.А., Флоринский И.В., Дюжев Н.А. Подходы к определению кривизны пластин по рельефу их поверхности // УФН, принята к публикации 06 октября 2021 / Dedkova A.A., Florinsky I.V., Djuzhev N.A. Approaches to determining curvature of wafers by their topography // Phys. Usp., accepted. Accepted: 6th, October 2021.

296. Еловиков С.С. Оже-электронная спектроскопия // Соросовский образовательный журнал. - 2001. - Т. 7., № 2. - С. 82-88.

297. Воронин А.С., Иванченко Ф.С., Хартов С.В. Создание оптически прозрачного проводящего покрытия на основе самоорганизованного шаблона // Решетневские чтения. - 2014. - Т. 1. - С. 459-461.

298. Швец В.А., Спесивцев Е.В., Рыхлицкий С.В., Михайлов Н.Н. Эллипсометрия

- прецизионный метод контроля тонкопленочных структур с субмикронным разрешением // Российские нанотехнологии. - 2009. - Т. 4, № 2-4. - С. 91-102.

299. Под ред. Зандерны А. Методы анализа поверхностей - М.: Мир, 1979. - 582 с.

300. Baek J.D., Yoon Y., Lee W., Su P. Circular membrane for nano thin film micro solid oxide fuel cells with enhanced mechanical stability // Energy Environ. Sci. - 2015. -Vol. 8. - P. 3374-3380.

301. Козлов А.И. Исследование и разработка мембранных тензопреобразователей давления: дисс. ... к.т.н.: 05.13.05. - Ульяновск, 2014. - 113 с.

302. Товарнов Д.А. Исследование влияния технологических операций на механические свойства тонкопленочных мембранных элементов: вып. квал. р. магистра.

- М., 2021. - 119 с.

303. Свидетельство о государственной регистрации программы для ЭВМ № 2019663188 от 11.10.2019, РФ. Программа обработки данных серии измерений мембранных структур для определения их прогиба и качественных особенностей / Дедкова А.А., Дюжев Н.А.

304. Дюжев Н.А., Гусев Е.Э., Дедкова А.А., Товарнов Д.А., Махиборода М.А. Экспериментальное определение механических свойств прострельного анодного элемента рентгеновского источника // Труды XXIV Международного симпозиума «Нанофизика и наноэлектроника». Нижний Новгород. - 2020. - С. 861-862.

305. Дедкова А.А., Флоринский И.В., Дюжев Н.А. Исследование изменения формы пластин и тонкопленочных мембран с использованием методов геоморфометрии // Труды XXVI Международного симпозиума «Нанофизика и наноэлектроника». Нижний Новгород. - 2022. - С. 541-542.

306. Гусев Е.Э., Дедкова А.А., Дюжев Н.А. Исследование механической прочности многослойных мембран для МЭМС преобразователей физических величин // Наноиндустрия. - 2018. - № S (82). - С. 538-541.

307. Гусев Е.Э., Дедкова А.А., Дюжев Н.А. Исследование механической прочности многослойных мембран для МЭМС-преобразователей физических величин // Сборник тезисов конференции. Международный форум «Микроэлектроника-2019», 5-я Международная научная конференция «Электронная компонентная база и микроэлектронные модули». - 2017. - С. 463-467.

308. Ольховский Н.Е. Предохранительные мембраны - М.: Химия, 1976. - 152 с.

309. Свидетельство о государственной регистрации программы для ЭВМ № 2018662003 от 25.09.2018, РФ. Программа расчета величины избыточного давления в МЭМС мембранах / Гусев Е.Э., Дюжев Н.А., Дедкова А.А.

310. Gusev E.E., Borisova A.A., Dedkova A.A., Salnikov A.A., Kireev V.Yu. The Effect of ion beam etching on mechanical strength multilayer aluminum membranes // Proceedings of the 2029 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2019. - P. 1990-1994.

311. Дюжев Н.А., Гусев Е.Э., Дедкова А.А., Глаголев П.Ю. Исследование механической прочности двухслойных мембран SiO2/Si3N4 // Наноиндустрия. - 2019. -№ S (89). - С. 511-514.

312. Дюжев Н.А., Гусев Е.Э., Дедкова А.А., Глаголев П.Ю. Исследование механической прочности двухслойных мембран SiO2/Si3N4 // Сборник тезисов конференции. Международный форум «Микроэлектроника-2018», 4-я Международная научная конференция «Электронная компонентная база и микроэлектронные модули». -2018. - C. 452-455.

313. Djuzhev N.A., Gusev E.E., Dedkova A.A., Kireev V.Yu., Onufrienko A.P. Separation of a silicon substrate into chips by liquid etching // Proceedings of the 2018 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2018. - P. 1970-1973.

314. Дедкова А.А., Гусев Е.Э., Ларионов В.С., Дюжев Н.А. Определение механических свойств МЭМС мембран // В сборнике: Третий междисциплинарный молодежный научный форум с международным участием «Новые материалы». - 2017. -С. 251-254.

315. Дедкова А.А., Дюжев Н.А., Глаголев П.Ю., Товарнов Д.А., Гусев Е.Э. Особенности анализа круглых мембран при исследовании и контроле структур нано- и микросистемной техники // Труды XXV Международного симпозиума «Нанофизика и наноэлектроника». Нижний Новгород. - 2021. - С. 395-396.

316. Дюжев Н.А., Гусев Е.Э., Дедкова А.А., Товарнов Д.А., Белова С.Д. Исследование механической прочности мембранной структуры Al/SiO2/Al. Сборник тезисов конференции. Международный форум «Микроэлектроника-2019», 5-я

Международная научная конференция «Электронная компонентная база и микроэлектронные модули». - 2019. - С. 393-396.

317. Gusev E.E., Tovarnov D.A., Dedkova A.A., Djuzhev N.A. Investigation of mechanical strength of membrane structure consisting of Al/SiO2/Al // Proceedings of the 2020 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (ElConRus). - 2020. - P 2299-2302.

318. Товарнов Д.А., Гусев Е.Э., Дедкова А.А. Исследование прочностных характеристик мембранных элементов прострельного рентгеновского источника //

гр Л /" W ТЛ U и о и Л

Тезисы докладов 26-й Всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика». - 2019. - С. 17.

319. Патент РФ № 2758417 от 28.10.2021. Способ определения механических свойств тонкопленочных мембран, сформированных над круглыми отверстиями / Дедкова А.А., Киреев В.Ю., Беспалов В.А., Переверзев А.Л.

320. Свидетельство о государственной регистрации программы для ЭВМ № 2020613138 от 11.03.2020, РФ. Программа обработки данных измерений деформированных мембранных структур для определения их эффективного прогиба / Дедкова А.А., Дюжев Н.А.

321. Свидетельство о государственной регистрации программы для ЭВМ № 2021660076 от 22.06.2021, РФ. Программа обработки результатов измерений круглых в плане деформированных мембран для определения их эффективного прогиба с восстановлением данных в области закрепления мембран / Дедкова А.А.

322. Дедкова А.А., Дюжев Н.А. Исследование изменения реальной формы круглых тонкопленочных мембран при реализации метода выдувания // Труды XXVI Международного симпозиума «Нанофизика и наноэлектроника». Нижний Новгород. -2022. - С. 539-540.

Приложения. Акты об использовании результатов работы

О Зеленоградский нанотехнологический центр

Акционерное общество «Зеленоградский нанотехнологический центр» (АО «ЗНТЦ»)

124527, Россия, Москва, Зеленоград, Солнечная аллея, д.6, пом. IX, Офис 17. Тел.: 8(499)720-69-44 Факс: 8 (499) 720-69-69

www.zntc.ru

№_от «_» декабря 2021 года.

На №__от

АКТ О ВНЕДРЕНИИ

результатов диссертационной работы Дедковой A.A. на тему «Комплекс методик для оптического исследования рельефа и механических характеристик

тонкопленочных структур»

Настоящим актом подтверждается, что методики исследования рельефа, толщин и оптических характеристик пластин и структур, в том числе библиотеки моделей и материалов, разработанные и сформированные Дедковой A.A., и отраженные в ее диссертационной работе, - используются в АО «ЗНТЦ» для исследования и контроля материалов и структур. Результаты исследований пленок на кремниевых полупроводниковых пластинах с использованием разработанных методик использовались в проекте "Мультиплексор И8".

Главный технолог

Начальник Лаборатории измерений и анализа брака

АЮ

нвдй директор НТЦ», д.т.н. Ковалев A.A. W^/ 20£/г.

влев О.Ю. Рзаев Э.М.

АКЦИОНЕРНОЕ ОБЩЕСТВО «ЗЕЛЕНОГРАДСКИЙ ИННОВАЦИОННО-ТЕХНОЛОГИЧЕСКИЙ ЦЕНТР»

/¿ЗИТЦ

Юридический адрес: 124527, г. Москва, Зеленоград, Солнечная аллея, дом 8 Фактический адрес: 124527, г. Москва, Зеленоград, Солнечная аллея, дом 8 Тел/факс 8 (499) 720-69-25 e-mail: info@zitc.ru

ИНН 7735088621/КПП 773501001 ОГРН 1027739612010 от 25.11.2002г.

ОКПО 18324803 ОКВЭД 72.19, 68.20 ОКТМО 45927000

ОКФС 43 ОКОПФ 12267 ОКОГУ 4210014

Р/с 40702810900001444052 в АО «Райффайзенбанк» г. Москва

К/с 30101810200000000700, БИК 044525700

АКТ

использования результатов диссертационной работы Дедковой A.A.

Результаты диссертационной работы Дедковой A.A. на тему «Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур» в части формирования топографических карт и карт локальной кривизны были использованы в АО «ЗИТЦ» при отработке процесса соединения ЗД модуля пиксельного детектора с гибкой печатной платой в технологии создания торцевых модулей пиксельных суб-детекторов внутреннего трекера для Большого Адронного Коллайдера. Разработанные Дедковой A.A. методики использовались для анализа рельефа поверхности с целью контроля качества соединения коммутационной гибкой печатной платы и кристалла пиксельного детектора.

Начальник отдела сборки нано и микросистемной техники

/СВ. Змеев/

Генеральный директор

•ртнов С.М./

Общество с ограниченной ответственностью

«Микрофотоника»

248000, Калужская обл., г. Калуга,

ул. Первомайская, д. 37, офис 1 ИНН/КПП 4027114210 / 402701001 ОГРН 1134027001998 e-mail: m i kro fotón i ka@, i n box. ru www .mikrofotonika.ru

результатов диссертационной работы Дедковой A.A. на тему «Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур»

Результаты, полученные Дедковой A.A. в диссертационной работе на тему «Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур», представленные на соискание ученой степени кандидата технических наук, были использованы ООО «Микрофотоника» и имеют высокую практическую значимость. Разработан комплекс методик для исследования МЭМС-структур. использовавшийся при разработке технологии создания датчиков физических величин на основе мембранной МЭМС-технологии.

АКТ О ВНЕДРЕНИИ

Генеральный директор ООО «Микрофотоника»

/Белова С. Д./

УТВЕРЖДАЮ Проректор по учебной работе МИЭТ _ Игнатова И.Г.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.