Линеаризация радиопередающих устройств декаметрового диапазона радиволн с помощью цифровых предыскажений и инжекции гармоник тема диссертации и автореферата по ВАК РФ 05.12.04, кандидат наук Кащенко Игорь Евгеньевич

  • Кащенко Игорь Евгеньевич
  • кандидат науккандидат наук
  • 2019, ФГБОУ ВО «Омский государственный технический университет»
  • Специальность ВАК РФ05.12.04
  • Количество страниц 142
Кащенко Игорь Евгеньевич. Линеаризация радиопередающих устройств декаметрового диапазона радиволн с помощью цифровых предыскажений и инжекции гармоник: дис. кандидат наук: 05.12.04 - Радиотехника, в том числе системы и устройства телевидения. ФГБОУ ВО «Омский государственный технический университет». 2019. 142 с.

Оглавление диссертации кандидат наук Кащенко Игорь Евгеньевич

ВВЕДЕНИЕ

ГЛАВА 1. ПРОБЛЕМА ЛИНЕАРИЗАЦИИ РАДИОПЕРЕДАЮЩИХ УСТРОЙСТВ ДЕКАМЕТРОВОГО ДИАПАЗОНА РАДИОВОЛН

1. 1 Анализ нелинейных свойств современных широкополосных усилителей мощности декаметрового диапазона радиоволн

1.1.1 Методы анализа нелинейных искажений широкополосных усилителей мощности

1.1.2 Методы анализа динамических свойств широкополосных усилителей мощности декаметрового диапазона радиоволн

1.2 Способы линеаризации современных широкополосных усилителей мощности декаметрового диапазона радиоволн

1.3 Способ снижения уровня паразитных гармонических искажений в усилителях мощности декаметрового диапазона радиоволн

1.4 Предлагаемая система линеаризации радиопередающих устройств декаметрового диапазона радиоволн

Выводы к главе

ГЛАВА 2. СИСТЕМА ВВОДА ЦИФРОВЫХ ПРЕДЫСКАЖЕНИЙ ДЛЯ РАДИОПЕРЕДАЮЩИХ УСТРОЙСТВ ДЕКАМЕТРОВОГО ДИАПАЗОНА РАДИОВОЛН

2.1 Способы построения моделей нелинейных усилителей мощности

2.2 Табличные модели нелинейных усилителей мощности

2.3 Алгоритм экстракции параметров для табличных моделей

2.4 Аналитические модели нелинейных систем

2.5 Экстракция параметров для аналитических моделей

2.6 Алгоритмы адаптации для систем ввода предыскажений на основе табличных моделей

2.7 Алгоритмы адаптации для систем ввода предыскажений на основе аналитических моделей

2.7.1 Алгоритмы адаптации для систем ввода предыскажений с прямым обучением

2.7.2 Алгоритмы адаптации для систем ввода предыскажений с косвенным обучением

Выводы к главе

ГЛАВА 3. СИСТЕМА ИНЖЕКЦИИ ГАРМОНИК ДЛЯ РАДИОПЕРЕДАЮЩИХ УСТРОЙСТВ ДЕКАМЕТРОВОГО ДИАПАЗОНА РАДИОВОЛН

3.1 Анализ метода инжекции гармоник

3.2 Структура системы инжекции гармоник для радиопередающих устройств декаметрового диапазона частот

3.2.1 Структура формирователя сигнала для подавления паразитной гармонической составляющей 2-го порядка

3.2.2 Структура формирователя сигнала для подавления паразитной гармонической составляющей 3-го порядка

3.3 Структура системы инжекции гармоник для радиопередающих устройств декаметрового диапазона радиоволн

Выводы к главе

ГЛАВА 4. ИМИТАЦИОННОЕ МОДЕЛИРОВАНИЕ ПРЕДСТАВЛЕННЫХ СПОСОБОВ ЛИНЕАРИЗАЦИИ

4.1 Имитационное моделирование систем ввода цифровых предыскажений

4.1.1 Программная модель системы ввода цифровых предыскажений на основе табличной модели

4.1.2 Программная модель системы ввода цифровых предыскажений на основе полиномиальной модели с памятью и прямым обучением

4.1.3 Программная модель системы ввода цифровых предыскажений на основе полиномиальной модели с памятью и косвенным обучением

4.2 Сравнительный анализ программных моделей систем ввода цифровых предыскажений

4.3 Программная модель системы инжекции гармоник для радиопередающих устройств декаметрового диапазона радиоволн

Выводы к главе

ГЛАВА 5. ПРАКТИЧЕСКАЯ РЕАЛИЗАЦИЯ ПРЕДСТАВЛЕННЫХ методов ЛИНЕАРИЗАЦИИ

5.1 Реализация аппаратной части системы линеаризации радиопередающего устройства декаметрового диапазона радиоволн

5.2 Реализация программной части системы линеаризации радиопередающего устройства декаметрового диапазона радиоволн

5.2.1 Модуль формирования радиочастотных сигналов и линеаризации

5.2.2 Модуль приёма и обработки радиочастотных сигналов

5.2.3 Процессорный модуль управления и обработки сигналов

5.3 Эксперименты с использованием системы линеаризации радиопередающего устройства декаметрового диапазона радиоволн

5.4 Результаты экспериментов с использованием системы линеаризации радиопередающего устройства декаметрового диапазона радиоволн

Выводы к главе

ЗАКЛЮЧЕНИЕ

Список сокращений и условных обозначений

Список литературы

Приложение А

Патент на изобретение

Приложение Б

Акт о внедрении результатов диссертационной работы

Рекомендованный список диссертаций по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Введение диссертации (часть автореферата) на тему «Линеаризация радиопередающих устройств декаметрового диапазона радиволн с помощью цифровых предыскажений и инжекции гармоник»

ВВЕДЕНИЕ

Актуальность работы. Радиопередающие устройства являются важной составляющей декаметровых систем связи, так как от их технических характеристик напрямую зависит качество и надёжность канала связи.

Одной из основных характеристик радиопередающих устройств является линейность усилительного тракта. Однако, активные элементы (транзисторы, лампы и т.д.), обеспечивая высокий уровень линейности усилительного тракта, работают в энергетически невыгодном режиме (с значительным удалением рабочей точки от нижней зоны ограничения) [1].

Обеспечение работы активных элементов усилительного тракта в энергетически выгодном режиме (режиме близком к нижней зоне ограничения), позволяет усовершенствовать важные характеристики радиопередающего устройства: снизить потребляемую мощность, уменьшить тепловыделение, массу и габариты усилителей мощности [2]. Отсюда вытекает одна из главных проблем при создании радиопередающих устройств: обеспечение линейности усилительного тракта при высокой энергетической эффективности. Для решения данной проблемы можно использовать процедуру линеаризации энергетически эффективного усилительного тракта радиопередающего устройства за счет внесения в усилительный тракт искусственных предыскажений сигнала.

Нелинейные свойства усилительного тракта проявляются в виде продуктов интермодуляции в основной полосе спектра сигнала и в виде паразитных гармонических составляющих вне основной полосы спектра сигнала [3]. При этом, даже если на вход нелинейного усилительного тракта поступает немодулированная несущая в виде гармонического колебания (с постоянной огибающей), то спектр колебания на выходе такого усилительного тракта будет содержать частоту как самого несущего колебания, так и частоты множества паразитных гармонических составляющих этого колебания.

В радиопередающих устройствах декаметрового диапазона радиоволн для устранения паразитных гармонических составляющих в спектре выходного

сигнала используются специальные диапазонные перестраиваемые фильтры гармоник [4]. Фильтры гармоник позволяют снизить уровень паразитных гармонических искажений в спектре сигнала на выходе радиопередающих устройств, но имеют ряд существенных недостатков, главными из которых являются: высокая себестоимость, сложность реализации, большое время перестройки рабочей частоты.

Снижение уровня паразитных гармонических составляющих в спектре колебания на выходе усилительного тракта позволит снизить требования к фильтрам гармоник и, соответственно, упростит их схемы, уменьшит габариты и стоимость. Кроме того, упрощение фильтров гармоник позволит уменьшить время их перестройки по частоте, что является критичным фактором для помехозащищенных систем радиосвязи [5,6]. Таким образом, снижение уровня паразитных гармонических составляющих сигнала на выходе усилительного тракта также является одной из задач линеаризации.

Актуальность работы определяется отсутствием известных научно-технических решений для устройств линеаризации усилительного тракта радиопередающих устройств декаметрового диапазона радиоволн и методик их разработки. Вместе с тем, данные устройства позволяют повысить коэффициент полезного действия радиопередающих устройств, снизить их себестоимость, массу и габариты за счет упрощения конструкции фильтров гармоник, которые являются их неотъемлемой составной частью. Наибольшую актуальность представляет исследование способов и методов, позволяющих учитывать особенности работы усилительного тракта в РПДУ декаметрового диапазона радиоволн.

Степень разработки темы. Научные исследования в области линеаризации радиопередающих устройств ведутся с середины XX-го века. В общем виде эти исследования привели к созданию нескольких основных подходов к линеаризации таких как: метод динамического смещения для излучения класса А [7], линеаризация на основе «прямой» связи в ВЧ диапазоне [8], метод деффазирования на основе изолированного и неизолированного сумматора [9,10], метод линеаризации по обратной связи, представленной в полярной или квадратурной

форме [11]. метод предыскажения передаваемого сигнала [12], способ инжекции гармоник [13].

В развитие методов и способов линеаризации внесли вклад многие ученые, в том числе такие как: Д.С. Кокс, Г.С. Блэк, А. Бэйтман, Дж. Намики, С. Маас, Дж. Г. Волбир, Дж. К. Каверс, Й. Нагата, Д. А. Морган, А. Зху, Л. Ган, Л. Динг, А. Салех, Дж. Хэмильтон, А. Сингх.

Цель диссертации. Линеаризация радиопередающих устройств декаметрового диапазона радиоволн с помощью метода ввода цифровых предыскажений в полосе основного сигнала и способа инжекции гармоник вне полосы основного сигнала.

Основные задачи. Достижение поставленной цели диссертации осуществляется за счёт решения следующих задач:

1. Анализ нелинейных искажений в полосе основного сигнала, возникающих в радиопередающих устройствах декаметрового диапазона радиоволн.

2. Сравнительный анализ существующих способов и методов линеаризации, применимых для радиопередающих устройств декаметрового диапазона радиоволн.

3. Разработка метода экстракции параметров для создания нелинейных моделей усилителей мощности радиопередающих устройств декаметрового диапазона радиоволн.

4. Разработка алгоритма адаптации для систем ввода цифровых предыскажений.

6. Анализ паразитных гармонических искажений, возникающих на выходе радиопередающих устройств декаметрового диапазона радиоволн.

7. Разработка способа подавления паразитных гармонических искажений, возникающих на выходе радиопередающих устройств, с помощью инжекции гармоник.

8. Разработка имитационных моделей усилителей мощности и систем линеаризации.

9. Разработка комплекса программно-технических средств для практической реализации предложенных методов и способов линеаризации.

10. Анализ результатов практической реализации предлагаемой системы линеаризации радиопередающих устройств декаметрового диапазона радиоволн.

Методы исследования. При решении поставленных задач были использованы следующие методы:

- аналитическое и имитационное моделирование нелинейных систем;

- цифровая обработка сигналов;

- решение систем линейных алгебраических уравнений, представленных в виде разреженных матриц большой размерности;

- решение линейной задачи наименьших квадратов.

Научная новизна.

1. Представлен улучшенный метод экстракции параметров для табличных моделей нелинейных усилителей мощности, который за счёт представления исходных данных в виде разреженных матриц и использования итеративного алгоритма решения системы линейных алгебраических уравнений (LSQR), обладает высоким быстродействием и позволяет получать параметры моделей с высокой точностью.

2. Предложена модификация метода экстракции параметров для полиномиальных моделей нелинейных усилителей мощности, которая в отличии от существующих методов основана на решении линейной задачи наименьших квадратов через QR-разложение, вследствие чего обладает более высоким быстродействием и меньшей вычислительной сложностью в сравнении с другими методами.

3. Доработан существующий способ инжекции гармоник, который, в отличии от имеющихся способов, применим для радиопередающих устройств декаметрового диапазона радиоволн и позволяет снизить уровень паразитных гармонических искажений при различных частотах несущего колебания.

4. Предложена новая методика формирования сигналов для инжекции гармоник, которая, в отличии от существующих методик, позволяет формировать

гармоники и интермодуляционные продукты этих гармоник в ограниченной полосе частот.

5. Представлен комплекс программно-технических средств для практической реализации предложенных методов и способов линеаризации радиопередающих устройств декаметрового диапазона радиоволн.

Степень достоверности результатов. Достоверность результатов подтверждается строгостью доказательств и утверждений, наложенных ограничений, обоснованностью применения математического аппарата, результатами имитационного моделирования и экспериментальных исследований. Практическая ценность работы. Полученные результаты позволяют:

1. Представленная система ввода цифровых предыскажений позволяет существенно снизить интермодуляционные искажения радиопередающего устройства декаметрового диапазона радиоволн (на 10-20 дБ) во всём диапазоне выходных мощностей и частот.

2. Разработанный способ подавления паразитных гармонических искажений позволяет существенно снизить технические требования к входящему в состав радиопередающего устройства фильтру гармоник и тем самым снизить себестоимость на 15% и массогабаритные показатели на 15% изделия в целом.

Результаты диссертационной работы использованы в научно-исследовательских работах АО «ОНИИП» и в серийно выпускаемых изделиях АО «ОмПО Иртыш» (Приложение Б). Основные положения, выносимые на защиту.

1. Методы экстракции параметров для табличных и полиномиальных моделей нелинейных усилителей мощности декаметрового диапазона радиоволн.

2. Способ инжекции гармоник для линеаризации радиопередающих устройств декаметрового диапазона радиоволн вне полосы основного сигнала.

3. Методика формирования гармонических колебаний для способа инжекции гармоник в радиопередающих устройствах декаметрового диапазона радиоволн.

4. Комплекс программно-технических средств для практической реализации

предложенных методов и способов линеаризации радиопередающих

устройств декаметрового диапазона радиоволн.

Личный вклад автора. Результаты представленной диссертационной работы получены в ходе выполнения автором научных исследований и экспериментов, разработки теоретических и практических методов решения поставленных задач, а также практической реализации основных результатов работы.

Апробация результатов. Основные результаты по всем разделам диссертационной работы были представлены в докладах на следующих конференциях:

- Международная научно-техническая конференция «Радиотехника, электроника и связь» (г. Омск, 2013, 2015, 2017).

- Международная научно-техническая конференция «Радиолокация, навигация и связь» (г. Воронеж, 2014, 2015).

- Международная научно-техническая конференция IEEE SIBCON (г. Омск,

2015).

- Международная научно-техническая конференция IEEE 2016 Dynamics of Systems Mechanisms and Machines (г. Омск, 2016, 2017).

Публикации. По результатам проведенных исследований опубликовано 15 работ, в том числе 4 публикации в изданиях из перечня ВАК, 10 публикаций в сборниках международных конференций, из них 2 работы индексируется в международных системах Scopus и Web of Science, 1 патент на изобретение.

Структура и объем диссертации. Диссертация состоит из введения, пяти глав, заключения, списка сокращений и условных обозначений, списка литературы и приложений. Объём работы составляет 142 страницы машинописного текста, включая 66 рисунков и таблиц, а также список литературы из 88 наименовании

ГЛАВА 1. ПРОБЛЕМА ЛИНЕАРИЗАЦИИ РАДИОПЕРЕДАЮЩИХ УСТРОЙСТВ ДЕКАМЕТРОВОГО ДИАПАЗОНА РАДИОВОЛН

Проблема линеаризации радиопередающих устройств вот уже на протяжении более чем полувека стоит перед разработчиками радиоэлектронного оборудования. За это время было предложено достаточно большое количество способов и методов линеаризации радиопередающих устройств. Современные тенденции развития связи, направленные на увеличение дальности и скорости передачи информации только усиливают стремление исследователей к поиску новых, более эффективных методов линеаризации радиопередающих устройств.

В настоящее время количество научных работ, направленных на исследование нелинейных усилителей мощности достигло достаточно высокого уровня. Однако, в основной своей массе, данные работы содержат результаты исследований узкополосной части (интермодуляционные искажения в основной полосе сигнала) выходного спектра усилителя мощности [14], не учитывая паразитные гармонические искажения. Связано это с тем, что эти работы освещают область беспроводной связи в СВЧ диапазоне, где проблема паразитных гармонических искажений не стоит достаточно остро и решается за счёт применения довольно простых и недорогих, с точки зрения схемотехники, фильтровых способов [15].

В отличии от беспроводной СВЧ связи, в декаметровой связи проблематика линеаризации охватывает не только область основной полосы сигнала, но и область паразитных гармоник [16]. Это связано с тем, что в радиопередающем устройстве декаметрового диапазона радиоволн для подавления паразитных гармонических искажений невозможно обойтись простым однодиапозонным фильтром.

В настоящее время практически все современные радиопередающие устройства декаметрового диапазона с выходной мощностью от 0,5 до 20 кВт построены с использованием широкополосных транзисторных усилителей мощности [17]. Широкополосные транзисторные усилители мощности являются основным источником нелинейных искажений в радиопередающем устройстве.

Как правило, для обеспечения высоких показателей выходной мощности и промышленного КПД, усилитель мощности работает в режимах близких к насыщению, при этом резко возрастает уровень нелинейных искажений в выходном сигнале. До недавнего времени основными практически реализуемыми методами снижения нелинейных искажений были аналоговые способы, связанные с особенностями построения усилительных каскадов, цепей обратной связи, цепей согласования и т.д. С распространением методов цифровой обработки сигналов начали активно развиваться способы линеаризации на основе принципов цифрового предыскажения сигналов [18], методов дефазирования сигнала [19], раздельного усиления амплитуды и фазы сигнала [20].

В данной главе представлен теоретический и практический анализ нелинейных искажений, возникающих в широкополосных транзисторных усилителях мощности при прохождении сигналов с постоянной и переменной огибающей. Рассмотрены современные способы и методы линеаризации широкополосных транзисторных усилителей мощности. А также представлена, предлагаемая автором, концепция линеаризации радиопередающего устройства декаметрового диапазона радиоволн.

1.1 Анализ нелинейных свойств современных широкополосных усилителей мощности декаметрового диапазона радиоволн

В современных радиопередающих устройствах декаметрового диапазона радиоволн и выходной мощностью от 0,5 до 20000 Вт, как правило используются транзисторные усилители мощности. Типовая схема усилителя мощности представляет собой двухтактную схему, построенную на четырех полевых транзисторах. При таком включении в плечах используются однотипные MOSFET-транзисторы [21]. Включение транзисторов осуществляется по схеме с общим истоком (ОИ), работающих с отсечкой тока в режиме класса АВ [22].

Схемотехническое решение, основанное на двухтактном включении транзисторов, является наиболее эффективным для построения усилителей с

повышенной выходной мощностью. Одним из основных преимуществ является то, что при таком включении паразитные гармонические составляющие четного порядка можно компенсировать. Объясняется это тем, что двухтактную схему можно представить в виде двух усилителей с противофазным устройством расщепления сигнала на входе и противофазным устройством сложения сигнала на выходе двух однотактных усилителей. Величина компенсации зависит от степени повторяемости статических и динамических характеристик транзисторов, работающих в одном двухтактном каскаде и степенью симметричности устройств расщепления и сложения мощности. Практически применение двухтактной схемы усилителя позволяет при тщательном исполнении дополнительно снизить уровень четных гармоник на 15-20 дБ. [2,3] Структурная схема ВЧ усилителя мощности показана на Рисунке 1.

Рисунок 1.1 - Структурная схема ВЧ усилителя мощности Выбор структурной схемы усилительного модуля, как 50-омного функционального узла, позволяет без труда соединять их между собой в РПДУ для получения требуемой выходной мощности. Кроме того, это определяет использование в качестве согласующих четырехполюсников трансформаторов на длинных линиях (ТДЛ), обладающих широкой полосой пропускания, свободной от резонансов. Согласующие трансформаторы, обладающие широкой полосой пропускания, выполнены на линиях с волновым сопротивлением,

обеспечивающим согласование сопротивлений. Нелинейные свойства таких усилителей мощности зависят от многих факторов: типа возбуждающего сигнала, стабильности цепей питания, цепей согласования и других внешних дестабилизирующих факторов.

1.1.1 Методы анализа нелинейных искажений широкополосных усилителей мощности

Нелинейные свойства усилителя мощности проявляются в виде генерации паразитных гармонических искажений в случае воздействия сигнала с постоянной огибающей и генерации интермодуляционных искажений в случае воздействия сигнала с переменной огибающей.

Для усилителей мощности, одним из важных параметров является амплитудная (мощностная) характеристика нелинейных свойств. Основными методами для анализа амплитудной нелинейности являются:

1. Измерение точки компрессии на 1дБ [23].

2. Измерение точки пересечения продуктов интермодуляции третьего

порядка [23].

3. Аппроксимация линейной зоны участка малой мощности.

Подробнее остановимся на методе аппроксимации линейной зоны участка малой мощности. На Рисунке 1.1 представлен график нелинейной зависимости выходной мощности усилителя (VOUT) от мощности входного сигнала (VIN).

Рисунок 1.1 - График зависимости выходной мощности усилителя (VOUT) от мощности входного сигнала (VIN)

Суть метода аппроксимация линейной зоны заключается в выборе на кривой зависимости выходной мощности усилителя от мощности входного сигнала линейного участка. Как правило, такой участок лежит в диапазоне от 0 до 35% от максимума выходной мощности усилителя. После того, как участок с линейной мощностью определён (определено значение максимальной выходной мощности в линейном режиме), строится прямая, проходящая через начало координат графика и точку максимальной выходной мощности. На Рисунке 1.1 линейная аппроксимация представлена прерывистой линией. По данному графику можно определить компрессию мощности усилителя мощности. Она определяется по формуле:

К = А - В, (1.1)

где A - максимальная выходная мощность, B - значение выходной мощности в точке пересечения кривой и проекции линейной аппроксимации на ось X.

В численном выражении компрессия мощности для данного усилителя будет равна:

K = 837 - 709 = 128 Вт, (1.2)

В спектральной области нелинейные искажения условно можно разделить на паразитные гармонические искажения, на частотах кратных частоте основного излучения и на интермодуляционные искажения в области основного излучения. На Рисунке 1.2 представлен спектр выходного сигнала широкополосного усилителя мощности декаметрового диапазона радиоволн при воздействии гармонического сигнала с частотой F0 = 3МГц .

Рисунок 1.2 - Спектр выходного сигнала широкополосного усилителя мощности декаметрового диапазона радиоволн при воздействии гармонического

сигнала

На спектре сигнала хорошо видно, что паразитные гармонические искажения кратны частоте основного излучения и определяются выражением:

Fn = п^, (1.3)

где п = .

Кроме того, как уже отмечалось выше, применение двухтактного включения транзисторов позволяет снизить уровень чётных паразитных гармонических искажений, что отчётливо видно на Рисунке 1.2 (уровень 2-й и 4-й гармоник значительно ниже уровня 3-й и 5-й гармоник). Соответственно наибольшее влияние на выходной спектральный состав имеют гармоники нечётных порядков.

При измерении гармонических искажений, как правило, определяется отношение уровня паразитной гармонической составляющей к уровню основного излучения, выраженное в дБ. При этом для реальных радиопередающих устройств требования к уровню 2-ой и 3-й гармоник значительно ниже, чем требования к уровню гармоник высших порядков (4, 5 и т.д.). Это связано с тем, что с ростом порядка, уровень гармоник снижается и соответственно снижается степень их негативного влияния.

Интермодуляционные искажения в области основного излучения возникают при воздействии сигнала с переменной огибающей и представляют собой взаимную модуляцию нелинейных составляющих в полосе основного сигнала.

На Рисунке 1.3 представлен спектр сигнала на выходе широкополосного усилителя мощности декаметрового диапазона радиоволн при воздействии бигармонического сигнала.

Рисунок 1.3 - Спектр выходного сигнала широкополосного усилителя мощности декаметрового диапазона радиоволн, в основной полосе излучения, при

воздействии бигармонического сигнала

Положение интермодуляционных составляющих в выходном сигнале определяется выражением:

Fn = Я1± jF2, (1.4)

где, /, у=1..ро.

Порядок интермодуляционного искажения вычисляется по формуле:

Р=/+Л (1.5)

Как видно из графика, представленного на Рисунке 1.3, спектр сигнала в основной полосе излучения на выходе усилителя имеет в своём составе только интермодуляционные искажения нечётных порядков вида:

Fнeч = F - jF2, (1.6)

при условии, что |/- ]\ =1.

Для радиопередающих устройств декаметрового диапазона радиоволн нормируется отношение уровня интермодуляционных искажений 3-го порядка (ИМИ-3: частоты FJMD3ь = 2F1 - F2; FIMD3к = 2F2 - F1;) к уровню одного из сигналов, при бигармоническом воздействии, выраженное в дБ.

Составляющие, не подпадающие под описание выражением (1.6) находятся за полосой основного излучения. На Рисунке 1.4 представлен спектр выходного сигнала широкополосного усилителя мощности декаметрового диапазона радиоволн при воздействии бигармонического сигнала.

Частота. Мгц

Рисунок 1.4 - Спектр выходного сигнала широкополосного усилителя мощности декаметрового диапазона радиоволн при воздействии

бигармонического сигнала

Частотные компоненты, расположенные в области кратной удвоенной частоте исходных сигналов, образуют зону 2-й гармоники, а частотные

310148013100230131004802305332310148

компоненты, расположенные в области кратной утроенной частоте исходных сигналов, образуют зону 3-й гармоники.

Современная декаметровая связь предполагает использование сигналов с высокой спектральной эффективностью (бит/(с*Гц)). В общем виде, такие сигналы в радиочастотной области имеют следующее представление:

v(t) = Re{Xc„/(t - nT}, (1.7)

где cn - символ в комплексном виде, T -период длительности одного символа, сос- частота несущего колебания, /(^-импульсная характеристика фильтра цифрового передатчика.

Комплексная огибающая такого сигнала имеет вид:

~(t) = - nT), (1.8)

n

Сигнал ~(t) может быть представлен, как синфазная и квадратурная составляющие. В зависимости от типа и вида модуляции мгновенное значение, излучаемой радиопередающим устройством, мощности не всегда соответствует его максимальной мощности. Соотношение, позволяющее определить уровень мощностной загруженности (отношение максимальной мощности к средней) радиопередающего устройства, называется пик-фактором и определяется как:

PAPR = mxvii»2, (1.9)

v 2(t) v ;

Значения комплексных символов cn на комплексной плоскости представляют

собой сигнальное созвездие. Амплитуда и фаза комплексного символа изменяется в соответствии с передаваемой информацией. Одной из наиболее эффективных, с точки зрения спектральной эффективности, модуляцией является квадратурная амплитудная модуляция (QAM) [24]. QAM-модуляция предполагает разделение несущей волны на две несущие одинаковой частоты сдвинутые относительно друг друга на 900 (синфазная и квадратурная составляющие), каждая из которых модулирована по одному из двух или более дискретных уровней амплитуды. Количество дискретных уровней определяет название типа модуляции - QAM-

4(QPSK), QAM-16, QAM-64, QAM-256 и т.д. При этом, пик-фактор сигнала напрямую зависит от числа дискретных уровней модуляции.

На Рисунке 1.5 изображено сигнальное созвездие для модуляции типа QAM-16.

Рисунок 1.5 - Сигнальное созвездие для модуляции типа QAM-16

Фильтр с импульсной характеристикой /(^ ограничивает спектр передаваемого сигнала. Как правило, импульсная характеристика такого фильтра соответствуют фильтру типа приподнятого косинуса, так как фильтр такого типа гарантирует отсутствие межсимвольной интерференции.

При воздействии на вход нелинейного усилителя мощности QAM-модулированного сигнала, его сигнальное созвездие отклоняется от своих исходных точек, происходит искажение передаваемого сигнала и соответственно появления ошибок при приёме такого сигнала. Чем выше пик-фактор сигнала, тем вероятность появления ошибок при приёме выше, так как расстояние между дискретными уровнями QAM-модуляции сокращается.

На Рисунке 1.6 изображено сигнальное созвездие для модуляции типа QAM-16 после прохождения сигнала через широкополосный усилитель мощности декаметрового диапазона радиоволн.

Рисунок 1.6 - Сигнальное созвездие для модуляции типа QAM-16 после прохождения сигнала через широкополосный усилитель мощности декаметрового

диапазона радиоволн

Сигнальное созвездие, представленное на Рисунке 1.6, имеет явные отклонения от исходного состояния. Во-первых, произошёл поворот созвездия относительно точки «0», что говорит о искажении фазы исходного сигнала. Во-вторых, положение точек созвездия имеет ярко выраженную вариативность, что говорит об искажении амплитуды исходного сигнала.

Похожие диссертационные работы по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Список литературы диссертационного исследования кандидат наук Кащенко Игорь Евгеньевич, 2019 год

СПИСОК ЛИТЕРАТУРЫ

1. Шахгильдян В.В. Проектирование радиопередатчиков: учеб. пособие для вузов / В.В. Шахгильдян, М.С. Шумилин, В.Б. Козырева. - М. : Радио и связь, 2000. - 656 с.

2. Извольский А.А., Козырев В.Б. Высокоэффективный ВЧ тракт транзисторных передатчиков // Сб. «Полупроводниковая электроника в технике связи» / Под ред. И.Ф. Николаевского. - М.: Радио и связь, 1990. -Вып. 28. - С. 112 - 118.

3. Петров Б.Е., Романюк В.А. Радиопередающие устройства на полупроводниковых приборах. - М. : Высшая школа, 1989. - 232 с.

4. Знаменский А.Е. Перестраиваемые электрические фильтры/ Знаменский А.Е. Попов Е.С. - М. : Связь, 1979. - 128 с.

5. Борисов В.И. Помехозащищенность систем радиосвязи с расширением спектра сигналов методом псевдослучайной перестройки рабочей частоты / В.И. Борисов, В.М. Зинчук, А.Е. Лимарев. - М. : Радио и связь, 2000. - 384 с.

6. Макаренко С.И. Помехозащищенность систем связи с псевдослучайной перестройкой рабочей частоты / С.И Макаренко, М.С Иванов, С.А. Попов // Монография. - СПб. : Свое издательство, 2013. - 166 с.:

7. Adel A. Improving the Power-Added Efficiency of FET Amplifiers Operating with Varying-Envelope Signals / A. Adel M. Saleh, Donald C. Cox // IEEE Transactions on Microwave Theory and Techniques, vol. 31, January 1989, pp. 51-56.

8. Harold S. Black. Translating System / Harold S. Black // U.S. Patent No. 1686792, October 1928.

9. Donald C. Cox. Linear Amplification with Non-Linear Components / C. Cox Donald // IEEE Transactions on Communications, vol. COM-22, December 1974, pp. 1942-1945.

10. Bateman A. The Combined Analogue Locked Loop Universal Modulator / A. Bateman // in Proceedings of the 42nd IEEE Vehicular Technology Conference, Denver, USA, VTC-92, May 1992, pp. 759-763.

11. Patent 2102671 US. 12.12.1937. Wave Translation System / Harold S. Black.

12. Junji Namiki. An Automatically Controlled Predistorter for Multilevel Quadrature Amplitude Modulation / Junji Namiki // IEEE Transactions on Communications, vol. COM-31, No. 5, May 1983, pp. 707-712.

13. Hamilton J. Harmonically-enhanced two-octave TWTA / J. Hamilton // Microwave J., vol. 15, pp. 24-25, 1972.

14. Tranter W. PTR: Principles of Communication Systems Simulation with Wireless Applications / William H. Tranter // Prentice Hall PRT - New Jersey 2011

- 778 P. 445-447.

15. Qian J.R. Zhuang W. C. New narrow-band dual-mode bandstop waveguide filters / J.R. Qian, W. C. Zhuang // IEEE Trans. Microw. Theory Techn., vol. MTT-31, no. 12, pp. 1045 - 1050, Dec. 1983.

16. Шумилин М.С., Козырев В.Б., Власов В.А. Проектирование транзисторных каскадов передатчиков. - М. : Радио и связь, 1987. - 320 с.

17. В.И. Каганов. Транзисторные радиопередатчики. - М. : Энергия, 1976

- 448 с.

18. Корсукова А.А. Оценка эффективности метода предыскажений сигнала для увеличения динамического диапазона передающего устройства / А.А. Корсукова, В.Л. Хазан // Техника радиосвязи - 2013. - №1 (19). - С. 2733.

19. Hetzel S. A., Bateman A. A LINC Transmitter / S. A. Hetzel, A. Bateman // IEEElectronic Letters, vol. 25, No. 10, 1991, pp. 844-845.

20. Wang F. Envelope tracking power amplifier with predistortion linearization for WLAN 802.11g / F. Wang, O. Kimball, P. Asbek // Dept. of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA. IEEE MTT-S Digest 2004.

21. Москатов Е. А. Электронная техника. Начало. - Таганрог, 2010. -С. 76.

22. Кащенко И.Е. Дощанов Е.Х. Анализ и моделирование нелинейных свойств транзисторного ВЧ усилителя с высокой выходной мощностью // Сб. докладов XX Международная научно-техническая конференция Радиолокация, навигация и связь. - Воронеж, 16-18 апреля 2015: тр. конф. -Воронеж: ВГУ, 2015. - Т.3 - С. 913-922.

23. Vuolevi J. Analysis, measurement and cancellation of the bandwidth and атрН^е dependence of intermodulation distortion in RF power amplifiers / J. Vuolevi // Doctoral thesis, University of Oulu, Oulu, Finland, 2001.

24. Сергиенко А. Б. Цифровая обработка сигналов. Учеб. пособие. - 3-е изд. - СПб. : БХВ-Петербург, 2011. - 768 С. С. 458 - 468.

25. Vuolevi J. Measurement technique for characterizing memory effects in RF power amplifiers. / J. Vuolevi, T. Rahkonen, J. Manninen. // IEEE Trans. on Microwave Theory and Measurements, Vol. 49, No. 8, 2001, pp. 1383-1389.

26. Laurent P. Exact and approximate construction of digital phase modulation by superposition of amplitude modulated pulses (AMP) / P. Laurent // IEEE Transactions on Communications. Vol. COM-34, #2, Feb. 1986, pp. 150-160.

27. Altet J. Thermal coupling in integrated circuits: application to thermal testing / J. Altet et all. // IEEE Journal of Solid-State Circuits, Vol. 36, No. 1, 2001, pp. 81-91.

28. Veijola T. Combined electrical and thermal parameter extraction for transistor model / T. Veijola, M. Andesson // 1997 European Conference on Circuit Theory and Design, Budapest, Hungary, pp. 754-759.

29. Maas S. How to model intermodulation distortion / S. Maas // 1991 IEEE MTT-S International Microwave Symposium Digest, Vol. 1, pp. 149-151.

30. R. D. Stewart. Feedforward Linearisation of 950MHz Amplifiers / R. D. Stewart and F. F. Tusubira // IEE Proceedings, vol. 135, Pt. H, No. 5, October 1988, pp. 347-350.

31. P. B. Kenington. Linear Distortion Correction using a Feedforward System / P. B. Kenington and D. W. Bennett // IEEE Transactions on Vehicular Technology, 45:474-480, 1996.

32. Kenneth A. Very Wide Bandwidth Linear Amplitude Modulation of RF Signals by Vector Summation / A. Kenneth, Jr. Walsh // U.S Patent No. 4 835 493, May 1989.

33. Langridge R. A power reuse technique for improved efficiency of outphasing microwave power amplifiers / R. Langridge // IEEE, vol. 47, no. 8, 1999. - pp. 1467-1470.

34. Chireix H. High power outphasing modulation / H. Chireix // Proc. IRE, vol. 23, 1935. - pp. 1370-392.16.

35. Johansson M. Linerisation of RF Multicarrier Amplifier using Cartesian Feedback / M. Johansson and L. Sundstrom // Electronics Letters, 30:1110 1112, 1994.

36. Lohtia A. Power Amplifier Linearization using Cubic Spline Inerpolation / A, Lohtia, Paul A. Goud and Colin G. Englrfield // in Proceedings of the 43rd IEEE Vehicular Technology Conference, Secaucus, USA, VTC-93, May1993, pp. 676679.

37. James K. Cavers. Amplifier Linearization Using a Digital Predistorter with Fast Adaptation and Low Memory Requirements / James K. Cavers // IEEE Transactions on Vehicular Technology, vol.39, No. 4, November 1990, pp. 374-382.

38. Зааль Р. Справочник по расчету фильтров. Пер. с нем. Под ред. Слепова Н.Н. - М, 1983 - 752 с. с.77.

39. Wohlbier J. G., Booske J. H., Dobson I., On the Physics of harmonic injection in a traveling wave tube. // IEEE Transactions on Plasma Science, vol. 32, no. 3, 2004. - pp. 1073-1085.

40. Кащенко И.Е. Подавление гармонической составляющей второго порядка выходного сигнала широкополосного усилителя мощности с помощью частотной инжекции / И.Е. Кащенко // Техника радиосвязи - 2016. -№2 (29). - С. 27-33.

41. Hyunchul Ku. Extraction of accurate behavioral models for power amplifiers with memory effects using two-tone measurements / Ku Hyunchul, M.D. Mckinley, J.S. Kenney // Microwave Symposium Digest, IEEE MTT-S International, Vol.1, 2002 pp. 139-142.

42. Cavers J.K. A Linearizing Predistorter with Fast Adaptation / J. K. Cavers // in Proc. IEEE 40th Vehicular Tech. Conf., Orlando, FL, 45:4147, 1990.

43. Ir. Johan Paduart, Identification of Nonlinear systems using Polynomial Nonlinear State Space Model / Ir. Johan Paduart , Vrije Universiteit Brussel pp. 102

- 104, 2008.

44. Ir. Johan Paduart, Identification of Nonlinear systems using Polynomial Nonlinear State Space Model / Ir. Johan Paduart , Vrije Universiteit Brussel pp. 104

- 105, 2008.

45. Ir. Johan Paduart, Identification of Nonlinear systems using Polynomial Nonlinear State Space Model / Ir. Johan Paduart , Vrije Universiteit Brussel pp. 104

- 105, 2008.

46. Ir. Johan Paduart, Identification of Nonlinear systems using Polynomial Nonlinear State Space Model / Ir. Johan Paduart , Vrije Universiteit Brussel pp. 105

- 106, 2008.

47. Ahmed A. Efficient PA Modeling Using Neural Network and Measurments Set-Up for Memory Effects Characterization in the Power Device / A. Ahmed, E. R. Srinidhi and G. Kompa // IEEE MTT-S Int. Microwave Symp., WE1D-5, Long Beach CA, June 2005.

48. J. Song, Y. MA, L. Guo. Behavioral Modeling the Power Amplifier with Memory Effect Using the NARMA model, 3rd Int. Conference on Computer and Electrical Engineering, IACSIT Press, Singapore 2012.

49. Jeon W. G. An Adaptive Data Predistorter for Compensation of Nonlinear Distortion in OFDM systems / W. G. Jeon, K. H. Chang, and Y. S. Cho // IEEE Transactions on Communications, 45:11671171, 1997.

50. Кащенко И.Е. Метод линеаризации декаметрового радиопередающего тракта на основе таблиц компенсации нелинейных искажений / И.Е. Кащенко // Техника радиосвязи - 2015. - №1 (24). - С. 78-85.

51. Nagata Y. Linear Amplification Technique for Digital Mobile Communications / Y. Nagata // In Proc. IEEE 39th Vehicular Tech. Conf., 1:159164, 1989.

52. Писсанецки С. Технология разреженных матриц. М. : Мир, 1988.

53. Saad Y. Iterative methods for sparse linear systems / Y. Saad. Second edition. SIAM, Philadelphia. 2000.

54. Paige C.C. LSQR: An algorithm for sparse linear equations and sparse least squares / C. C. Paige and m. A. Saunders // ACM Trans. Math. Soft., 8 (1982), pp. 43-71.

55. Zhu A. An overview of Volterra series based behavioral modeling of RF/microwave power amplifiers / A. Zhu, T. J. Brazil // Wireless Microwave Technol. Conf. - 2006. - P.101-107.

56. Morgan D. A generalized memory polynomical model for digital predistortion of RF power amplifiers / Morgan, Ma, Kim, Zierdt, and Pastalan // IEEE Trans. Sig. Proc, vol. 54. - 2006. - P.3852-3860.

57. Zhu A. Dynamic deviation reduction-based Volterra behavioral modeling of RF power amplifiers / A. Zhu, J.C. Pedro, T.J. Brazil // IEEE Trans. On Microwave Theory and Techniques, vol. 54. - 2006. - No.12. - P.4323-4332.

58. Хазан В.Л. Метод анализа безынерционных нелинейных элементов. // Вопросы радиоэлектроники. - 1969. - Серия ТРС, - вып. 9. - С. 42-48.

59. Воеводин В. В. Вычислительные основы линейной алгебры. М.: Наука, 1977.

60. Дж. Голуб. Матричные вычисления. - М. : Мир, 1999.

61. Дж. Ортега. Введение в параллельные и векторные метода решения линейных систем. - М. : Мир, 1991.

62. Кащенко И.Е. Метод экстракции параметров для нелинейной полиномиальной модели с памятью / И.Е. Кащенко, А.П. Павлов //

Радиотехника, электроника и связь: Сб. докл. Междунар. Науч.-техн. конф. (РЭиС-2017). - Омск: АО «ОНИИП», 2017. - С.250-255.

63. Кащенко, И. Е. Результаты экспериментального исследования линеаризации радиопередающего тракта / И. Е. Кащенко, А. В. Богданов // Успехи современной радиоэлектроники. - 2014. - №11. - С.38-42.

64. Zhou D. Y. Novel adaptive nonlinear predistorters based on the direct learning algorithm / D. Y. Zhou and V. E. DeBrunner // IEEE Trans. on Signal Processing, vol. 55, no. 1, pp.120-133, 2007.

65. Eun C. A new Volterra predistorter based on indirect learning architecture / C. Eun and E. J. Powers // IEEE Trans. on Signal Processing, vol. 45, no. 1, 1997.

66. Ding L. A least square/Newton method for digital predistortion of wideband signals / L. Ding, Z. Ma, D. R. Morgan, M. Zierdt, and J. Pastalan // IEEE Trans. on Communications, vol. 54, no. 5, pp. 833-840, May 2006.

67. Gan L. Digital predistortion of memory polynomial systems using direct and indirect learning architectures / L. Gan and E. Abd-Elrady // in Proc. of the IASTED Conference on Signal and Image Processing, 2009.

68. Ljung L. System Identification - Theory for the User / L. Ljung. 2nd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 1999.

69. Кащенко И.Е. Имитационное моделирование алгоритма адаптации на основе рекурсивного метода прогноза ошибки (RPEM) для систем ввода предыскажений / И. Е. Кащенко // Техника радиосвязи - 2016. - №2 (33). - С. 27-33.

70. Gan L. Adaptive digital predistortion of nonlinear systems / L. Gan // Ph.D. Thesis, Faculty of Electrical and Information Engineering, Graz University of Technology, Graz, Austria. - 2009.

71. Ding L. Memory polynomial predistorter based on the indirect learning architecture / L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina // in Proc. of GLOBECOM, Taipei, Taiwan. - 2002. - vol. 1. - pp. 967-971.

72. Gan L. Linearization of weakly nonlinear systems using FIR filters and Recursive Prediction Error method / L. Gan and E. Abd-Elrady // in Proc. of MLSP'08, Cancun, Mexico. - 2008.

73. Ljung L. Theory and Practice of Recursive Identification / L. Ljung, T. Soderstrom // M.I.T. press, Cambridge, MA, USA, 1983.

74. Soderstorm T. System Identification / T. Soderstorm, P. Stoica // Prentice-Hall International, Hemel Hempstead, United Kingdom, 1989.

75. Singh A. Second and Third Order signal predistortion for nonlinear distortion suppression in a TWT / A Singh, J. E. Scharer, J. H. Booske, J. G. Wohlbier // IEEE Transactions on electron devices, vol. 52, no. 5, 2005 - pp. 709717.

76. Kashchenko I. The harmonic injection technique for short-wave HF power amplifier / I. Kashchenko // IEEE 2017 Dynamics of Systems Mechanisms and Machines.

77. ГОСТ Р 52903-2004. Передатчики радиосвязи стационарные декаметрового диапазона волн.

78. Goldberg B-G. Digital frequency synthesizer / B-G. Goldberg. U.S. Patent 47529029, July 1985.

79. Кащенко, И. Е. Анализ и моделирование нелинейных свойств транзисторного ВЧ усилителя с высокой выходной мощностью / И. Е. Кащенко, Е. Х. Дощанов // XXI международная научно-техническая конференция Радиолокация, навигация, связь. - Воронеж, 16-18 апреля 2015: тр. конф. - Воронеж: ВГУ, 2015. - Т.2 - С. 913-922.

80. Carvalho N. B, Pedro J. C. Compact Formulas to Relate ACPR and NPR to Two-Tone IMR and IPE / Nuno Borges Carvalho and Jose Carlos Pedro // Microwave Journal, December 1999, pp. 70-84

81. ETSI technical report ETR 290: "Measurement guidelines for DVB systems", Errata 1, May 1997

82. Specification Xilinx Zynq-7000 [Электронный ресурс]. - Режим доступа: https://www.xilinx. com/support/documentation/data_sheets/ds 190-Zynq-7000-0verview.pdf, свободный (дата обращения 13.02.2018).

83. Specification TI DAC3283 [Электронный ресурс]. - Режим доступа: https://www.ti.com/lit/ds/symlink/dac3283.pdf, свободный (дата обращения 13.02.2018).

84. Specification TI ADS62P49 [Электронный ресурс]. - Режим доступа: https://www.ti.com/lit/ds/symlink/ads62p49.pdf, свободный (дата обращения 13.02.2018).

85. Specification ARM A9 [Электронный ресурс]. - Режим доступа: https://developer.arm.com/products/processors/cortex-a/cortex-a9, свободный (дата обращения 13.02.2018).

86. Specification AXI [Электронный ресурс]. - Режим доступа: https://www.xilinx.com/support/documentation/ip_documentation/ug761_axi_refer ence_guide.pdf, свободный (дата обращения 13.02.2018).

87. Specification Vivado [Электронный ресурс]. - Режим доступа: https://www.xilinx.com/products/design-tools/vivado.html, свободный (дата обращения 13.02.2018).

88. Specification MXA N9020A [Электронный ресурс]. - Режим доступа: https://www.keysight.com/ru/pdx-x202266-pn-N9020A/mxa-signal-analyzer-10-hz-to-265-ghz?nid=-32508.1150426.00&cc=RU&lc=rus, свободный (дата обращения 13.02.2018).

Приложение А Патент на изобретение

Приложение Б Акт о внедрении результатов диссертационной работы

УТВЕРЖДАЮ

[ь генерального директора Граоотс ДО «ОНИИП» jjT^ C.B. Кривальцевич

2018 г.

АКТ

о внедрении результатов диссертационного исследования в АО «ОНИИП»

Настоящим актом подтверждается использование результатов кандидатской диссертационной работы Кащенко Игоря Евгеньевича на тему «Линеаризация радиопередающих устройств декаметрового диапазона радиоволн с помощью цифровых предыскажений и инжекции гармоник» при разработке радиопередающих устройств декаметрового диапазона радиоволн выходной мощностью 1, 5 и 20 кВт. В настоящий момент радиопередающие устройства мощностью 1 и 5 кВт с внедренными алгоритмами приняты на снабжение в Министерстве обороны и серийно выпускаются в АО «ОНИИП» и АО «ОмПО Иртыш».

Начальник

[аучно-технического центра 4

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.