Механические свойства изолирующих диэлектриков систем металлизации интегральных схем схем тема диссертации и автореферата по ВАК РФ 05.27.06, кандидат наук Овчинников Иван Сергеевич

  • Овчинников Иван Сергеевич
  • кандидат науккандидат наук
  • 2021, ФГБОУ ВО «МИРЭА - Российский технологический университет»
  • Специальность ВАК РФ05.27.06
  • Количество страниц 133
Овчинников Иван Сергеевич. Механические свойства изолирующих диэлектриков систем металлизации интегральных схем схем: дис. кандидат наук: 05.27.06 - Технология и оборудование для производства полупроводников, материалов и приборов электронной техники. ФГБОУ ВО «МИРЭА - Российский технологический университет». 2021. 133 с.

Оглавление диссертации кандидат наук Овчинников Иван Сергеевич

СОДЕРЖАНИЕ

АКТУАЛЬНОСТЬ ДИССЕРТАЦИОННОЙ РАБОТЫ

ГЛАВА 1 ИЗОЛИРУЮЩИЕ МАТЕРИАЛЫ С НИЗКОЙ ДИЭЛЕКТРИЧЕСКОЙ ПРОНИЦАЕМОСТЬЮ. ПРОБЛЕМЫ ИНТЕГРАЦИИ И ОЦЕНКИ МЕХАНИЧЕСКИХ СВОЙСТВ

1.1 Изолирующие материалы с низкой диэлектрической проницаемостью

1.1.1 Резистивно-емкостные задержки. Проблемы масштабирования современных интегральных микросхем

1.1.2 Диэлектрическая проницаемость и способы ее уменьшения

1.1.3 Современные материалы с ультранизкой диэлектрической проницаемостью

1.1.4 Методы формирования изолирующих материалов с низкой диэлектрической проницаемостью

1.1.5 Проблемы интеграции материалов с низкой диэлектрической проницаемостью

1.2 Традиционные методы оценки механических свойств тонких пленок изолирующих материалов с низкой диэлектрической проницаемостью

1.2.1 Наноиндентирование

1.2.2 Бриллюэновская спектроскопия

1.2.3 Спектроскопия поверхностных акустических волн

1.2.4 Пикосекундный лазерно-акустический метод

1.2.5 Эллипсометрическая порометрия

1.2.6 Атомно-силовая микроскопия

Выводы по ГЛАВЕ 1 и постановка задачи

ГЛАВА 2 ОБЪЕКТЫ И МЕТОДЫ ИССЛЕДОВАНИЯ

2.1 Атомно-силовая микроскопия в режиме количественного наномеханического картирования

2.1.1 Особенности функционирования метода АСМ в режиме количественного наномеханического картирования

2.1.2 Математические модели для расчета модуля Юнга в режиме количественного наномеханического картирования

2.1.3 Проблемы измерений модуля Юнга в режиме количественного наномеханического картирования

2.2 Синтез изолирующих материалов с низкой диэлектрической проницаемостью для экспериментальных исследований

2.2.1 Пористые пленки метил-модифицированных силикатов

2.2.2 Формирование органосиликатных пленок с углеродными мостиковыми группами

2.3 Методы оценки топографии, электрофизических и химических параметров материалов с ультранизкой диэлектрической проницаемостью

2.3.1 ИК-Фурье спектроскопия

2.2.2 Спектральная эллипсометрия

2.2.3 Измерение диэлектрической проницаемости емкостным методом

2.3.4 Исследование топографии поверхности пленок метил-модифицированных силикатов и пленок мезапористых органосиликатов

Выводы по ГЛАВЕ

ГЛАВА 3 МЕТОДИКА ИЗМЕРЕНИЯ МОДУЛЯ ЮНГА ТОНКИХ ПЛЕНОК ИЗОЛИРУЮЩИХ МАТЕРИАЛОВ С НИЗКОЙ ДИЭЛЕКТРИЧЕСКОЙ ПРОНИЦАЕМОСТЬЮ

3.1 Оценка модуля Юнга пористых метил-модифицированных силикатов с варьируемым соотношением метильных групп к числу атомов кремния методом атомно-силовой микроскопии

3.1.1 Влияние соотношения метильных групп к числу атомов кремния на величину модуля Юнга метил-модифицированных силикатов

3.1.2 Исследование влияния адсорбированной на поверхности воды на результат оценки модуля Юнга методом АСМ в режиме PFQNM

3.1.3 Определение необходимого времени и температуры сушки метил-модифицированных силикатов для устранения ошибки количественных измерений

3.2 Влияния параметров АСМ зонда на результат оценки величины модуля Юнга изолирующих материалов

3.3 Оценка модуля Юнга пленок метил-модифицированных силикатов с различной толщиной

3.4 Оценка результатов измерения модуля Юнга методом АСМ в сравнении с результатами НИ, СПАВ и ЭП

Выводы по ГЛАВЕ

ГЛАВА 4 МЕТОД АСМ ДЛЯ ОЦЕНКИ МЕХАНИЧЕСКИХ СВОЙСТВ И ДЕФЕКТНОСТИ ТРАДИЦИОННЫХ И ПЕРСПЕКТИЫВНЫХ ИЗОЛИРУЮЩИХ ДИЭЛЕКТРИКОВ В СОСТАВЕ СИСТЕМЫ МЕТАЛЛИЗАЦИИ ИС

4.1 Исследование механических свойств перспективных материалов для системы межуровневой изоляции ИС

4.2 Оригинальный способ локализации скрытых дефектов low-k диэлектриков методом атомно-силовой микроскопии

4.3 Метод атомно-силовой микроскопии для оценки механических свойств low-k диэлектриков в структуре системы металлизации

Выводы по ГЛАВЕ

ЗАКЛЮЧЕНИЕ

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

Публикации по теме диссертации

Рекомендованный список диссертаций по специальности «Технология и оборудование для производства полупроводников, материалов и приборов электронной техники», 05.27.06 шифр ВАК

Введение диссертации (часть автореферата) на тему «Механические свойства изолирующих диэлектриков систем металлизации интегральных схем схем»

АКТУАЛЬНОСТЬ ДИССЕРТАЦИОННОЙ РАБОТЫ

Повышение степени интеграции современных интегральных микросхем является основной тенденцией развития микроэлектронной индустрии и связано с непрерывным внедрением новых технологических процессов и материалов. С этой целью в микроэлектронике непрерывно уменьшаются размеры транзисторных элементов, появились новые технологии high-k диэлектриков, напряженного кремния, произошло изменение архитектуры транзистора (fin field effect transistor - FinFET). Однако увеличение плотности компоновки транзисторов на кристалле интегральных схем (ИС) значительно увеличило длину электрических проводников в системе многоуровневой металлизации. Кроме того, значительно уменьшились площадь сечения проводников и расстояния между ними. Совокупность этих факторов определила рост так называемых резистивно-емкостных (RC) задержек, которые вносят определяющий вклад в быстродействие ИС [1].

Для решения этой проблемы в конце 1990-х годов производители ИС совершили переход от алюминиевой системы металлизации к медной, обладающей меньшим удельным сопротивлением [2]. Это позволило микроэлектронике продолжить путь масштабирования топологических размеров ИС. Однако в настоящее время становится очевидным, что в ближайшем будущем существующие технологические решения исчерпают себя и потребуется применение новых материалов [3]. При этом основным фактором снижения RC-задержек становится уменьшение диэлектрической проницаемости к изолирующих диэлектриков, используемых в системах многоуровневой металлизации ИС.

Это подход получил широкое распространение начиная с технологического процесса 90 нм, когда классический диоксид кремния (SiO2, к = 4) начали заменять на альтернативные материалы с более низким значением диэлектрической проницаемости (так называемые low-k диэлектрики). Согласно уравнению Клаузиуса-Моссотти на величину диэлектрической проницаемости

оказывают влияние поляризуемость молекул и плотность материала. До технологического процесса 45 нм диэлектрическую проницаемость снижали путем интеграции материалов с меньшей поляризуемостью, в частности использовали органосиликатные стекла, в которых структура оксида кремния была модифицирована органическими метильными группами. Далее в индустрии начали применять материалы с меньшей плотностью за счет формирования в них пористой структуры.

Однако снижение диэлектрической проницаемости до значений к < 2,5 сталкивается с существенными проблемами, так как из-за увеличения пористости существенно снижается механическая прочность диэлектрика. Это делает его несовместимым с дальнейшими технологическими процессами создания ИС, в частности, с процессами химико-механической полировки и сборки, которые требуют, чтобы величина модуля Юнга диэлектрика превышала 6 ГПа [3]. Таким образом, актуальность диссертационной работы определяется потребностью индустрии микро- и наноэлектроники к интеграции новых изолирующих диэлектриков с низкой величиной диэлектрической проницаемости и достаточно высокими механическими характеристиками, что стимулирует развитие новых методов исследования их механических свойств.

Для оценки значений модуля Юнга диэлектрических пленок применяются аналитические методики, основанные на различных физических явлениях: наноиндентирование, эллипсометрическая порометрия, спектроскопия поверхностных акустических волн, пикосекундный лазерно-акустический метод, бриллюэновское рассеяние света [4]. Несмотря на то, что наноиндентирование является основным методом оценки механических характеристик в микроэлектронике, считается что для пленок толщиной менее 500-1000 нм на результаты оказывает влияние подложка, а результаты оказываются несколько завышенными. Для большинства других методик необходимо проводить серьезную пробоподготовку, которую зачастую можно выполнить только на ограниченном наборе образцов. Кроме того, все эти методы имеют достаточно низкое латеральное разрешение и не позволяют производить оценку

механических свойств диэлектриков непосредственно в составе ИС. В этой связи в последнее время увеличился интерес к способам оценки механических параметров, основанных на методе атомно-силовой микроскопии (АСМ), главным преимуществом которых является высокое латеральное разрешение.

Данная работа посвящена вопросам исследования механических свойств пористых органосиликатных материалов с целью создания новых и совершенствования существующих технологий изолирующих диэлектриков ИС для повышения их производительности и надежности.

Целью работы является исследование методом атомно-силовой микроскопии механических свойств пористых органосиликатных материалов, применяемых и разрабатываемых для применения в качестве изолирующего диэлектрика с низкой диэлектрической проницаемостью в системах многоуровневой металлизации ИС.

В соответствии с поставленной целью в диссертационной работе решались следующие задачи:

1. Провести анализ материалов с низкой диэлектрической проницаемостью, применяемых в системах многоуровневой металлизации ИС. Выявить проблемы, связанные с механическими свойствами изолирующих low-£ диэлектриков при уменьшении проектных норм микроэлектронного производства. Проанализировать методы определения модуля Юнга пористых low-k диэлектриков.

2. Исследовать особенности оценки механических свойств пористых органосиликатных стекол с различными поверхностными свойствами методом атомно-силовой микроскопии в режиме количественного наномеханического картирования.

3. Провести сравнительный анализ результатов оценки модуля Юнга пористых low-£ диэлектриков методом атомно-силовой микроскопии в режиме количественного наномеханического картирования с известными методами: наноиндентирования, поверхностных акустических волн и эллипсометрической порометрии.

4. Исследовать влияние толщины пленок и параметров жесткости зонда на результаты измерений.

5. Исследовать возможность применения метода атомно-силовой микроскопии в режиме количественного наномеханического картирования для анализа свойств изолирующего диэлектрика в составе системы металлизации.

6. Исследовать возможность применения метода атомно-силовой микроскопии в режиме количественного наномеханического картирования для анализа свойств новых разрабатываемых low-k материалов. Дать рекомендации по методам совершенствования изолирующих диэлектриков в технологии ИС.

Научная новизна работы заключается в следующем:

1. Впервые предложено и экспериментально обосновано применение метода атомно-силовой микроскопии в режиме количественного наномеханического картирования для анализа механических свойств пористых органосиликатных пленок, используемых в качестве изолирующих диэлектриков с низкой диэлектрической проницаемостью в системах металлизации ИС. Показано, что данный метод обладает преимуществами по сравнению с используемыми методами измерений: локальность измерений и возможность получения карты поверхностного распределения модуля Юнга, независимость результатов от влияния подложки.

2. Обнаружен эффект увеличения силы адгезии зонда адсорбированной водой на гидрофильной поверхности пористой органосиликатной пленки и определены параметры сушки пленок для устранения влияния этого эффекта на результаты оценки модуля Юнга методом атомно-силовой микроскопии в режиме количественного наномеханического картирования.

3. Методом атомно-силовой микроскопии в режиме количественного наномеханического картирования впервые определена величина модуля Юнга low-k диэлектрика на различных участках структуры с металлическими проводниками, сформированной методом субтрактивной интеграции.

4. Разработан оригинальный неразрушающий способ определения скрытых дефектов в пленке low-k диэлектрика в структуре системы металлизации,

основанный на анализе данных поверхностного распределения параметров упругости.

5. Впервые получены данные о температурной эволюции модуля Юнга органосиликатных пленок с различными органическими мостиковыми связями между атомами кремния, рассматриваемых в качестве перспективных материалов в ИС сверхвысокой степени интеграции.

Практическая значимость работы

Полученные результаты свидетельствуют о том, что анализ упругих свойств изолирующих диэлектриков в составе ИС является важнейшей задачей при разработке новых и совершенствовании существующих технологий, а также повышения их функциональных и эксплуатационных характеристик. Метод атомно-силовой микроскопии в режиме количественного наномеханического картирования может быть использован для характеризации упругих свойств low-£ диэлектриков в процессах межоперационного контроля и анализа отказов, а также представляет основу для дальнейших исследований материалов и процессов создания многоуровневых систем металлизации ИС.

Среди наиболее важных практических результатов:

1. Методика определения модуля Юнга пористых органосиликатных low-£ диэлектриков с одновременной регистрацией топографии поверхности, включающая выбор оптимальных параметров зонда, подготовку образца перед измерениями, интерпретацию полученных результатов.

2. Неразрушающий способ локализации скрытых дефектов в структуре диэлектрика, интегрированного в систему межуровневой металлизации ИС, направленный на повышение надежности и количества выхода годных электронных устройств.

3. Данные о механических свойствах и их связи с составом и структурой перспективных видов low-£ диэлектриков, разрабатываемых для использования в новых технологических схемах изготовления ИС сверхвысокой степени интеграции.

Достоверность полученных результатов определяется использованием высокоточного современного аналитического оборудования, корреляцией полученных экспериментальных данных с результатами измерений другими методами, основанными на иных физических явлениях, обоснованностью допущений в решении поставленных задач, сравнением получаемых результатов с результатами других авторов и непротиворечивостью известным физическим моделям.

Реализация и внедрение результатов работы.

Фундаментальные и прикладные результаты диссертационной работы используются в практике неразрушающей оценки механических свойств и дефектности пленок в Научно-образовательном центре «Технологический центр» федерального государственного бюджетного образовательного учреждения высшего образования «МИРЭА - Российский технологический университете» (РТУ МИРЭА).

На защиту выносятся следующие научные положения:

1. Метод атомно-силовой микроскопии в режиме количественного наномеханического картирования позволяет получить карту поверхностного распределения модуля Юнга в диапазоне 1 -20 ГПа пленок и структур пористых органосиликатных стекол с низкой диэлектрической проницаемостью, применяемых в качестве изолирующего диэлектрика в системах металлизации ИС, и может быть использован при совершенствовании известных и разработке новых low-k материалов.

2. В пористых органосиликатных пленках с малым содержанием концевых метильных групп (СН3^ < 0,6) адсорбированная вода оказывает влияние на рост силы адгезии зонд-образец, приводя к завышенным значениям модуля Юнга. Предварительная сушка образца при 200°С в течение 5 мин и более позволяет устранить влияние данного эффекта.

3. Метод атомно-силовой микроскопии в режиме количественного наномеханического картирования позволяет определить величину модуля Юнга органосиликатных пленок и соответствует данным наноиндентирования, но не

имеет ограничений по толщине пленок, связанных с влиянием подложки, и может быть использован для определения механических свойств и скрытых дефектов изолирующего диэлектрика в структуре системы металлизации.

4. Введение в структуру органосиликатного стекла мостиковых метиленовых, этиленовых и фенильных групп приводит к увеличению модуля Юнга, при этом наибольший эффект оказывают фенильные группы. Рост температуры отжига таких пленок приводит к увеличению модуля Юнга и связано с процессами перестройки кремний-кислородного каркаса пленок.

Апробация работы

Научные результаты диссертационной работы прошли апробацию на международных и всероссийских конференциях различного уровня: международные конференции XX International Sol-Gel Conference в 2019 г. (Санкт-Петербург, Россия), Advanced Metallization Conference 2019 (Токио, Япония), V International Conference on Innovations in Non-Destructive Testing SibTest-2019 (Екатеринбург, Россия), International Interconnect Technology Conference (IITC)-2021 (Киото, Япония), Российская научно-техническая конференция с международным участием «Информатика и технологии. Инновационные технологии в промышленности и информатике» в 2018, 2019 и 2020 гг (Москва).

Публикации

По теме диссертационной работы опубликовано 10 печатных работ, из них 5 статей в журналах, входящих в Перечень ВАК РФ и в базы данных Web of Science и Scopus, 1 из которых имеет квартиль Q1, а также 5 публикаций в сборниках тезисов и материалах всероссийских и международных научных конференций.

Личный вклад автора

Автору принадлежат ключевые идеи, положенные в основу работы. В диссертации использованы только те результаты, в получении и интерпретации которых автору принадлежит определяющая роль. Диссертант принимал участие в экспериментальных исследованиях по оценке механических и

электрофизических свойств изолирующих материалов с низкой диэлектрической проницаемостью, в обсуждении и интерпретации результатов. Объем и структура работы

Диссертация состоит из введения, списка используемых сокращений, четырех глав, заключения и списка литературы. Объем работы составляет 133 страницы, включая 50 рисунков и 10 таблиц. Список цитируемой литературы включает 109 наименований.

ГЛАВА 1 ИЗОЛИРУЮЩИЕ МАТЕРИАЛЫ С НИЗКОЙ ДИЭЛЕКТРИЧЕСКОЙ ПРОНИЦАЕМОСТЬЮ. ПРОБЛЕМЫ ИНТЕГРАЦИИ И ОЦЕНКИ МЕХАНИЧЕСКИХ СВОЙСТВ

1.1 Изолирующие материалы с низкой диэлектрической проницаемостью

Развитие современной электроники неразрывно связано с масштабированием элементной базы. Это стимулирует внедрение новых материалов и технологий в процесс производства. Особое место, в котором, занимают материалы с низкой диэлектрической проницаемостью, применяемые в системе межуровневой металлизации. В течение последних двух десятилетий ведется активная работа по синтезу и интеграции новых материалов с ультранизкой диэлектрической проницаемостью в производственные процессы. Однако ряд вопросов изучен не на должном уровне. В частности, существует проблема достоверной оценки механических характеристик low-£ диэлектриков.

1.1.1 Резистивно-емкостные задержки. Проблемы масштабирования современных

интегральных микросхем

Масштабирование и увеличение быстродействия интегральных микросхем являются основными проблемами в развитии полупроводникового производства. Долгое время задачу по увеличению быстродействия интегральных микросхем (ИМС) удавалось решить путем масштабирования активных областей полевого МДП-транзистора. Увеличение плотности компоновки транзисторов на кристалле ИМС привело к росту длины металлических проводников в системе межуровневой металлизации. Кроме того, значительно уменьшилось сечение проводника и расстояние между ними. Это стало причиной роста резистивно-емкостных задержек в системе металлизации [2].

Резистивно-емкостные задержки в системе межуровневой металлизации являются одной из основных проблем для дальнейшего масштабирования интегральных микросхем [1].

Время задержки управляющих сигналов определяется постоянной задержки:

т = RC = 2pks0

„ L L 4+ ^

P2 т2

2 Л

(1.1)

где р - удельное сопротивление проводника, к - диэлектрическая проницаемость межуровнего диэлектрика, Ь - длина проводника, Р - шаг проводников, Т -толщина проводника.

0.2 0.3 0.4

Feature Size (/jm)

Рисунок 1.1 - Зависимость величины RC-задержек от топологической нормы

ИМС [5]

Анализ тенденции развития технологических процессов производства (Рисунок 1.1) однозначно показывает, что в технологии 250 нм, время прохождения сигнала по алюминиевым проводникам начинает превалировать над временем переключения транзистора. Это оказывает негативное влияние на общую производительность ИМС.

.КС-задержки можно снизить либо за счет снижением удельного сопротивления металлических проводников, либо за счет снижения диэлектрической проницаемости межуровневой изоляции.

Использование материала с низким удельным сопротивлением, такого как Си, для формирования межсоединений, уменьшает КС-задержки, что, в свою очередь, увеличивает скорость функционирования ИМС. Переход к Си в качестве материала для межсоединений был одним из наиболее значительных изменений в истории производства интегральных микросхем.

Однако, удельное сопротивление Си резко увеличивается при топологических нормах ниже 20 нм (Рисунок 1.2 а) [6]. Кроме того, медные проводники с малыми линейными размерами менее устойчивы к разрушению при электромиграции. Эти факторы способствовали росту исследований направленных на поиск альтернативных металлов для межсоединений.

Перспективными материалами являются тугоплавкие металлы, такие как Мо, Os, 1г, Ru и КЬ. Они обладают меньшей неупругой длиной свободного пробега, по сравнению с Си, и поэтому могут демонстрировать меньшую зависимость удельного сопротивления от линейных размеров (Рисунок 1.2 б).

ю-86-

ш у

«Е

о

а

ОЦ

► 10 пт < 20 пт Т 40 пт 70 пт . • 100 пт * > ••••••• Ви1к

* " » » ■ > «

20 40 60 00 ширина проводника, нм

а)

100

500 1000 1500 2000 2500 3000 3500 4000

температура плавления, "С

б)

Рисунок 1.2 - Зависимости: а) величины удельного сопротивления меди от сечения проводника для различных топологических норм; б) удельного сопротивления различных металлов от температуры плавления [7]

В свою очередь, уменьшение величины диэлектрической проницаемости межслойного диэлектрика способствует снижению емкостной составляющей задержек в цепях ИМС.

1.1.2 Диэлектрическая проницаемость и способы ее уменьшения

В современных ИМС материалы с ультранизкой диэлектрической проницаемостью используются для уменьшения емкости в структуре межуровневых соединении. Основные закономерности емкостной составляющей системы межсоединений могут быть описаны формулой для емкости плоского конденсатора:

С = ^, (1.2)

а

где е - диэлектрическая проницаемость среды, е0 - электрическая постоянная,

5 - площадь обкладки конденсатора, а - расстояние между обкладками. Из уравнения 1.2 видно, что уменьшение величины диэлектрической проницаемости

межслойного диэлектрика будет способствовать снижению резистивно-емкостных задержек в цепях ИМС.

Диэлектрическая проницаемость материала - это физическая величина, показывающая во сколько раз взаимодействие двух электрических зарядов в среде меньше, чем в вакууме [8].

Классически диэлектрическая проницаемость материалов описывается уравнением Клаузиуса-Моссотти [9]:

к-1 4ж ^

-= —Ма, (1.3)

к + 2 3

где к = е/е0, е - диэлектрическая проницаемость материала, е0 - электрическая

постоянная вакуума, N - число молекул на единицу объема (плотность), а а -поляризуемость. В соответствии с этим уравнением можно сделать вывод, что диэлектрическая проницаемость материалов может быть уменьшена путем уменьшения общей поляризуемости и плотности.

Уменьшение поляризуемости активно использовалось до технологического процесса 45 нм. Исключение из состава диэлектрика полярных молекул и молекул, содержащих связи с высокой поляризуемостью, позволяет уменьшить диэлектрическую проницаемость.

Дальнейшее снижение топологических норм потребовало от производителей поиска новых решений. В индустрии начинают активно использовать пористые диэлектрические материалы. Воздух, материал с самой низкой к ~ 1. Следовательно, формирование воздушных пор в структуре ¡о^^к пленок позволяет снизить величину диэлектрической проницаемости.

Относительная диэлектрическая проницаемость пористого материала к зависит от пористости Р и диэлектрической проницаемости каркаса пленки к :

к 1 =(1 -Р) к

1

к + 2

к + 2

(1.4)

Уравнение (1.4) позволяет прогнозировать изменение диэлектрической проницаемости в зависимости от пористости. Материалы с относительно небольшими значениями к3 обеспечивают одинаковые значения кг при более низкой пористости [3].

1.1.3 Современные материалы с ультранизкой диэлектрической проницаемостью

Классическим диэлектрическим материалом в микроэлектронике является диоксид кремния. БЮ2 имеет диэлектрическую проницаемость около 4, что не удовлетворяет потребностям современного производства [10]. Материалы с к = 2,8...2,9 были получены путем замещения в матрице БЮ2 части атомов кремния слабо полярными молекулами. Такие диэлектрики на основе

органических полимеров позволяют обеспечить низкое значение к. Материалы с алифатическими связями С-С, С-Н и С-Ы имеют самую низкую диэлектрическую проницаемость, но обычно становятся нестабильными при температурах выше 300-400°С, а в некоторых случаях даже при более низких температурах. Только материалы, состоящие из неалифатических связей С-С, С-О, С-Ы и С-Б, ароматических структур, а также сшитых или лестничных структур, могут выдерживать температуры, необходимые для технологии формирования межуровневой металлизации (от 450 до 500°С). Большинство из этих органических пленок с достаточной термостабильностью имеют значения диэлектрической проницаемости от 2,6 до 2,8. Однако усилия по их интеграции не увенчались успехом. Помимо плохих механических и термических свойств, наиболее важные проблемы были связаны с относительно высоким коэффициентом теплового расширения по сравнению с другими компонентами ИМС [11, 12].

Более успешная интеграция была достигнута с использованием органосиликатных стекол. Для которых после формирования в них пористой структуры удалось достичь значений диэлектрической проницаемости к < 2 [13].

В Таблице 1 обобщены типы материалов, применяемых в настоящее время в системах многоуровневой металлизации ИС.

Таблица 1.1 - Современные типы изолирующих материалов [14]

Материал Диэлектрическая проницаемость к

Фторсиликатное стекло (FSG) 3,5-3,7

Полиимиды 3,0-3,6

Spin-on стекла 2,7-3,1

Органосиликатное стекло (OSG) 2,6-2,9

Полимеры на основе парилена 2,6-2,8

Метил/водород силсесквиоксан (MSQ/HSQ) 2,5-3,2

Пористые MSQ, полимеры на основе парилена 1,8-2,4

Кремниевые аэрогели 1,1-2,2

Кремниевые ксерогели 1,5-2,2

До технологического процесса 45 нм диэлектрическую проницаемость снижали путем интеграции материалов с меньшей поляризуемостью. Когда подобный подход себя исчерпал, в индустрии начали применять материалы с меньшей плотностью, за счет формирования в них пористой структуры. Эти материалы в настоящий момент традиционно используются для формирования межуровневой изоляции в СБИС.

1.1.4 Методы формирования изолирующих материалов с низкой диэлектрической

проницаемостью

Для интеграции диэлектрика в структуру системы металлизации наибольшее распространение получили золь-гель метод и метод химического осаждения из газовой фазы (Рисунок 1.3).

Золь-гель метод предполагает нанесение пленкообразующего раствора на пластину посредством центрифугирования. Создаваемые за счет вращения подложки центробежные силы распределяют раствор по поверхности. После нанесения раствора пленка подвергается двухстадийной термообработке: для удаления растворителя и порогена. Формирование пористой структуры выполняется двумя способами.

Первый способ основан на процессе самосборки. Такой способ формирования используют при синтезе периодических мезопористых органосиликатов (ПМО). Эти диэлектрики включают в себя упорядоченное пористое пространство и широкие поры с аморфной стенкой [15]. Процесс формирования происходит путем совместной самосборки частиц силикатного золя и молекул поверхностно активные вещества [16].

Второй способ заключается во введении в пленкообразующий раствор прекурсора (порогена), который в последующем удаляется из пленки в процессе пиролиза, образуя поры.

Рисунок 1.3 - Схема процесса формирования межуровневой изоляции [17]

Метод химического осаждения из газовой фазы. В процессе осаждения промежуточные соединения формируются в газовой фазе, содержащей каркас будущей пленки, причем это происходит до их осаждения на поверхность. В современной полупроводниковой промышленности low-k диэлектрики производятся с использованием технологииосаждения из газовой фазы, стимулированного плазмой или plasma enhanced chemical vapor deposition (PECVD) [18]. В процессе осаждения вводится прекурсор для формирования матрицы пленки (тетраметилциклотетрасилоксан (TMCTS),

октаметилциклотетрасилоксан (OMCTS), декаметилциклопентасилоксан (DMCPS), диэтоксиметилсилан (DEMS)), смешанный с прекурсором порогена (терпинены, норборнены, линейные, оксиды циклоалкена, монооксид бутадиена) [19-21]. После осаждения образуется двухфазный материал SiCOH-CHx. Далее для образования пор посредством термического отжига удаляется лабильная органическая фракция CxHy из осажденной пленки.

Большинство современных органосиликатных стекол синтезированы PECVD методом. Кроме того, в настоящее время перспективным методом осаждения пористых диэлектрических low-k пленок является использование одной молекулы-прекурсора матрицы с встроенным прекурсором порогена. Примером такого материала является пленка Applied Materials Black Diamond 3 (BD3) [22].

Похожие диссертационные работы по специальности «Технология и оборудование для производства полупроводников, материалов и приборов электронной техники», 05.27.06 шифр ВАК

Список литературы диссертационного исследования кандидат наук Овчинников Иван Сергеевич, 2021 год

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

1. Havemann R.H., Hutchby J.A. High-performance interconnects: An integration overview // Proc. IEEE. Institute of Electrical and Electronics Engineers Inc. -2001. - V 89(5). - P. 586-601.

2. Maex K. et al. Low dielectric constant materials for microelectronics // Journal of Applied Physics. - 2003. - V. 93(11). - P. 8793-8891.

3. Gan C.L. et al. Experimental characterization and modeling of the reliability of three-terminal dual-damascene Cu interconnect trees // Journal of Applied Physics. - 2003. - V. 94(2). - P. 1222-1228.

4. Chen F., Gardner D. Influence of line dimensions on the resistance of Cu interconnections // IEEE Electron Device Letters. - 1998. - V. 19(12). - P. 508510.

5. Adelmann C. et al. Alternative metals for advanced interconnects // 2014 IEEE International Interconnect Technology Conference // Advanced Metallization Conference, IITC/AMC 2014. IEEE Computer Society. - 2014. - P. 173-176.

6. Никольский В.В., Никольская Т.И. Электродинамика и распространение радиоволн. Учеб. пособие для вузов. - 3-е изд., перераб. и доп. - М.: Наука, 1989. - 544 с.

7. Киттель Ч. Введение в физику твердого тела. - М.: Наука, 1978. - 791 с.

8. Baklanov M.R. et al. Low dielectric constant materials for nanoelectronics // Thin Films on Silicon: Electronic and Photonic Applications. World Scientific Publishing Co. Pte. Ltd. - 2016. - V. 8. - P. 163-271.

9. Kaanta C.W. et al. Dual Damascene: A ULSI wiring technology // 1991 Proceedings 8th International IEEE VLSI Multilevel Interconnection Conference, VMIC 1991. Institute of Electrical and Electronics Engineers Inc. - 1991. - P. 144-152.

10. Gurtej S. Sandhu, Heinrich Koerner. Advanced Metallization Conference in 1998 (AMC 1998). [Электронный ресурс]. URL: https://www.kriso.ee/advanced-metallization-conference-1998-amc-1998-db-9781558994843.html (дата

обращения: 13.11.2020).

11. Martin S.J. et al. Development of a low-dielectric-constant polymer for the fabrication of integrated circuit interconnect // Advanced Materials. - 2000. - V. 12(23). - P. 1769-1778.

12. Jousseaume V. et al. Dielectric Constant of Porous Ultra Low-к Thin Films // Journal of The Electrochemical Society. - 2012. - V. 159(5). - P. G49-G55.

13. Cheng Y.-L., Lee C.-Y., Haung C.-W. Plasma Damage on Low-k Dielectric Materials // Plasma Science and Technology - Basic Fundamentals and Modern Applications. IntechOpen. - 2019. [Электронный ресурс]. URL: https://www.intechopen.com/books/plasma-science-and-technology-basic-fundamentals-and-modern-applications/plasma-damage-on-low-k-dielectric-materials (дата обращения: 10.10.2020).

14. Liu C. et al. Effect of terminal methyl groups concentration on properties of organosilicate glass low dielectric constant films // Japan Journal of Applied Physics. - 2018. V.57(7). - P. 07MC01 (1-7).

15. Beck J.S. et al. A New Family of Mesoporous Molecular Sieves Prepared with Liquid Crystal Templates // Journal of the American Chemical Society. - 1992. -V. 114(27). - P. 10834-10843.

16. Fast Films - IEEE Spectrum [Электронный ресурс]. URL: https://spectrum.ieee.org/semiconductors/materials/fast-films (дата обращения: 27.01.2020).

17. Grill A. et al. Characteristics of low-k and ultralow-k PECVD deposited SiCOH films // Materials Research Society Symposium - Proceedings. - 2002. - V. 716. -P. 569-574.

18. Lubguban J. et al. Low-k organosilicate films prepared by tetravinyltetramethylcyclotetrasiloxane // Journal of Applied Physics. - 2002. - V. 92(2). - P. 1033-1038.

19. Gates S.M. et al. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition // Journal of Applied Physics. - 2007. - V. 101(9). - P. 094103.

20. Uchida Y., Katoh T., Oikawa M. Characterization of low-k porous silica films incorporated with alkylene groups // Materials Science in Semiconductor Processing. - 2002. - V.5(2-3). - P. 259-264.

21. You H. et al. Extreme-low k porous pSiCOH dielectrics prepared by PECVD // Journal of Vacuum Science & Technology B. - 2018. - V. 36(1). - P. 012202 (18).

22. Burkey D.D., Gleason K.K. Structure and mechanical properties of thin films deposited from 1,3,5-trimethyl-1,3,5-trivinylcyclotrisiloxane and water // Journal of Applied Physics. - 2003. - V. 93(9). - P. 5143-5150.

23. Li H. et al. The effect of porogen loading on the stiffness and fracture energy of brittle organosilicates // Journal of Materials Research. - 2009. - V. 24(1). - P. 107-116.

24. Green M., Baklanov M., Maex K. Dielectric Films for Advanced Microelectronics / ed. John Wiley & Sons Ltd. - 2007. - 499 p.

25. Sreenivasan R., Gleason K.K. Overview of Strategies for the CVD of Organic Films and Functional Polymer Layers // Chemical Vapor Deposition. - 2009. - V. 15(4-6). - P. 77-90.

26. Guyer E., Dauskardt R. Fracture of nanoporous thin-film glasses // Nature Materials. - 2004. - V.3. - P. 53-57.

27. Guyer E.P., Dauskardt R.H. Effect of solution pH on the accelerated cracking of nanoporous thin-film glasses // Journal of Materials Research. - 2005. - V. 20(2). - P. 680-687.

28. Guyer E.P., Patz M., Dauskardt R.H. Fracture of nanoporous methyl silsesquioxane thin-film glasses // Journal of Materials Research. - 2006. -V. 21(4). - P. 882-894.

29. Wang G., Ho P.S., Groothuis S. Chip-packaging interaction: A critical concern for Cu/low k packaging // Microelectronics Reliability. - 2005. - V. 45(7-8). - P. 1079-1093.

30. Oliver W.C., Pharr G.M. An improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments //

Journal of Materials Research. - 1992. - V. 7(6). - P. 1564-1583.

31. Zizka J. et al. Mechanical properties of low- and high- k dielectric thin films: A surface Brillouin light scattering study // Journal of Applied Physics. - 2016. -V. 119(14). - P. 144102 (1-9).

32. Gostein M. et al. Surface wave metrology for copper/low-k interconnects // AIP Conference Proceedings. - 2005. - V. 788. - P. 496-500.

33. Thomsen C., Strait J., Vardeny Z., Maris H. J., Tauc J., Hauser J.J. Coherent Phonon Generation and Detection by Picosecond Light Pulses // Physical Review Letters. - 1984. - V. 53(10). - P. 3-6.

34. Mogilnikov K.P., Baklanov M.R. Characterisation of Low-K Dielectric Films by Ellipsometric Porosimetry // Materials Research Society - 2000. - V. 612. - P. D4.2.1-D4.2.12.

35. Hurley D.C. et al. Nanoscale elastic-property measurements and mapping using atomic force acoustic microscopy methods // Measurement Science and Technology. - 2005. - V. 16(11). - P. 2167-2172.

36. Stan G., King S.W., Cook R.F. Elastic modulus of low-k dielectric thin films measured by load-dependent contact-resonance atomic force microscopy // Journal of Materials Research. - 2009. - V. 24(9). - P. 1-4.

37. Huey B.D. AFM and Acoustics: Fast, Quantitative Nanomechanical Mapping // Annual Review of Materials Research. - 2007. - V. 37(1). - P. 351-385.

38. Yu H.Y., Sanday S.C., Rath B.B. The effect of substrate on the elastic properties of films determined by the indentation test - axisymmetric boussinesq problem // Journal of the Mechanics and Physics of Solids. - 1990. - V. 38(6). - P. 745-764.

39. Sullivan M., Prorok B. Chapter 7: Evaluating pile-up and sink-in during nanoindentation of thin films // Conference Proceedings of the Society for Experimental Mechanics Series. Springer New York LLC. - 2016. - V. 5. - P. 45-50.

40. Zhou W. et al. Elastic properties of porous low-k dielectric nano-films // Journal of Applied Physics. - 2011. - V. 110(4). - P. 043520 (1-8).

41. Bailey S. et al. Mechanical properties of high porosity low-k dielectric nano-films

determined by Brillouin light scattering // Journal of Physics D: Applied Physics. - 2013. - V. 46(4). - P. 123-128

42. King S. et al. Advances in metrology for the determination of Young's modulus for low-k dielectric thin films // Instrumentation, Metrol. Stand. Nanomanufacturing, Opt. Semicond. VI. - 2012. - V. 8466. - P. 84660A.

43. Maznev A.A. et al. Laser-based surface acoustic wave spectrometer for industrial applications // Review of Scientific Instruments. - 2003. - V. 74(1). - P. 667-669.

44. Schneider D. Laser-Induced Surface Acoustic Waves for Material Testing // Handbook of Advanced Non-Destructive Evaluation. Springer International Publishing. - 2018. - P. 1-63.

45. Ogi H. et al. Resonance acoustic-phonon spectroscopy for studying elasticity of ultrathin films // Applied Physics Letters. - 2007. - V. 90(19). - P. 191906(3).

46. Chapelon L.L. Measuring the Young ' s modulus of ultralow- k materials with the non destructive picosecond ultrasonic method // Microelectronic Engineering. -2006. - V.83. - P. 2346-2350.

47. Mechri C. et al. Evaluation of elastic properties of nanoporous silicon oxide thin films by picosecond laser ultrasonics // European Physical Journal: Special Topics.. - 2008. - V.153(1). - P. 211-213.

48. Mogilnikov K.P., Baklanov M.R. Determination of Young's Modulus of Porous Low- k Films by Ellipsometric Porosimetry // Electrochemical and Solid-State Letters. - 2002. - V. 5(12). - P. 29-32.

49. Binnig G., Quate C.F., Gerber C. Atomic Force Microscope // Physical Review Letters. - 1986. - V. 56(9). - P. 930-934.

50. Sokolov I., Dokukin M.E., Guz N. V. Method for quantitative measurements of the elastic modulus of biological cells in AFM indentation experiments // Methods. - 2013. - V. 60(2). - P. 202-213.

51. Weisenhorn A.L. et al. Measuring adhesion, attraction, and repulsion between surfaces in liquids with an atomic-force microscope // American Physical Society Physical Review B. - 1992. - V. 45(19). - P. 226-232.

52. Rosa-Zeiser A. et al. The simultaneous measurement of elastic , electrostatic and

adhesive properties by scanning force microscopy : pulsed-force mode operation // Measurement Science and Technology. - 1997. - V. 8. - P. 1333-1338.

53. Rabe U. et al. Vibrations of free and surfacecoupled atomic force microscope cantilevers : Theory and experiment // Review of Scientific Instruments. - 1996. -V. 67(9). - P. 3281-3293.

54. Yamanaka K. et al. Resonance frequency and Q factor mapping by ultrasonic atomic force microscopy // Applied Physics Letters. - 2001. - V. 78. - P. 19391941.

55. Stan G., Cook R.F. Mapping the elastic properties of granular Au films by contact resonance atomic force microscopy // Nanotechnology. - 2008. - V. 19. - P. 235701 (1-10).

56. Kopycinska-Muller M. et al. Elastic Properties of Nano - Thin Films by Use of Atomic Force Acoustic Microscopy // Materials Research Society. - 2009. -V. 1185. - P. 1185-II09 (1-4).

57. Kopycinska-Muller M. et al. Mechanical characterization of porous nano-thin films by use of atomic force acoustic microscopy // Ultramicroscopy. - 2016. - V. 162. - P. 82-90.

58. Kolosov O., Yamanaka K. Nonlinear Detection of Ultrasonic Vibrations in an Atomic Force Microscope // Japan Journal of Applied Physics. - 1993. - V. 32. -P. 1095-1098.

59. Yamanaka K. et al. Ultrasonic force microscopy for nanometer resolution subsurface imaging force microscopy for nanometer resolution subsurface imaging // Applied Physics Letters. - 1994. - V. 64. - P. 178-180.

60. Muthaswami L. et al. Variation of Radial Elasticity in Multiwalled Carbon Nanotubes // Nano Letters. - 2007. - V. 7(12). - P. 3891-3894.

61. Sarioglu A.F., Atalar A., Degertekin F.L. Modeling the effect of subsurface interface defects on contact stiffness for ultrasonic atomic force microscopy // Applied Physics Letters. - 2004. - V. 84(26). - P. 5368-5370.

62. Stan G. et al. Nanoscale tomographic reconstruction of the subsurface mechanical properties of low- k high-aspect ratio patterns // Nanotechnology. - 2016. - V. 27.

- P. 485706 (1-9).

63. Lo M. et al. Nanoscale Chemical-Mechanical Characterization of Nanoelectronic Low- k Dielectric / Cu Interconnects // Journal of Solid State Science and Technology - 2016. - V. 5(4). - P. 3018-3024.

64. Clark N., Oikonomou A., Vijayaraghavan A. Ultrafast quantitative nanomechanical mapping of suspended graphene // Physica Status Solidi (B). -2013. - V. 2677(12). - P. 2672-2677.

65. Young T.J. The use of the PeakForce TM quantitative nanomechanical mapping AFM-based method for high-resolution Young's // Measurement Science and Technology. - 2011. - V. 22. - P. 125703 (1-6).

66. Cheng X. et al. Characterization of Local Elastic Modulus in Confi ned Polymer Films via AFM Indentation // Macromolecular Rapid Communications. - 2014. -V. 36(4). - P. 391-397.

67. Pittenger B., Slade A. Performing Quantitative Nanomechanical AFM Measurements on Live Cells // Microscopy Today. - 2013. - V. 21(6). - P. 12-17.

68. Fischer H., Stadler H., Erina N. Quantitative temperature-depending mapping of mechanical properties of bitumen at the nanoscale using the AFM operated with PeakForce Tapping TM mode // Journal of Microscopy. - 2013. - V. 250(3). - P. 210-217.

69. Quantitative Mechanical Property Mapping at the Nanoscale with PeakForce QNM [Electronic resource] // Application Note # 128. - 2012. - P. 1-12.

70. Sneddon I.N. The relation between load and penetration in the axisymmetric boussinesq problem for a punch of arbitrary profile // International Journal of Engineering Science. - 1965. - V. 3(1). - P. 47-57.

71. B. V. Derjaguin, Muller V.M., Toporov Y.P. Effect of Contact Deformations on the Adhesion of Particles // Elsevier logo Journals Books J. Colloid Interface Sci.

- 1975. - V. 53(2). - P. 314-326.

72. Cappella B., Dietler G. Force-distance curves by atomic force microscopy // Surface Science Reports. - 1999. - V. 34(1-3). - P. 1-104.

73. Zeng G., Dirscherl K., Garn^s J. Toward accurate quantitative elasticity mapping

of rigid nanomaterials by atomic force microscopy: Effect of acquisition frequency, loading force, and tip geometry // Nanomaterials. - 2018. - V. 8(8). -P. 1-12.

74. Hopf J., Pierce E.M. Topography and Mechanical Property Mapping of International Simple Glass Surfaces with Atomic Force Microscopy // Procedia Materials Science. - 2014. - V. 7(865). - P. 216-222.

75. Butt H.J., Jaschke M. Calculation of thermal noise in atomic force microscopy // Nanotechnology. - 1995. - V. 6(1). - P. 1-7.

76. Liu C. et al. Effect of terminal methyl groups concentration on properties of organosilicate glass low dielectric constant films // Japan Journal of Applied Physics. - 2018. - V. 57(7). - P. 07MC01(1-7).

77. Park S.S., Moorthy M.S., Ha C.S. Periodic mesoporous organosilicas for advanced applications // Asia Materials. - 2014. - V.6(4). - P. 96.

78. Bargar J.R. et al. ATR-FTIR spectroscopic characterization of coexisting carbonate surface complexes on hematite // Geochimica et Cosmochimica Acta. -2005. - V. 69(6). - P. 1527-1542.

79. Asefa T. et al. Metamorphic channels in periodic mesoporous methylenesilica // Angewandte Chemie International Edition. - 2000. - V. 39(10). - P. 1808-1811.

80. Мошников В., Спивак Ю., Прохор А., Пермяков Н. Атомно-силовая микроскопия для исследования наноструктурированных материалов и приборных структур. : учеб. пособие. СПб.: Изд-во СПбГЭТУ «ЛЭТИ», 2014. 144 с.

81. Yang Y. et al. The comparison between force volume and peakforce quantitative nanomechanical mode of atomic force microscope in detecting cell ' s mechanical properties // Microscopy Research and Technique. - 2019. - P. 1-9.

82. Jin C., Ebenstein D.M. Nanoindentation of compliant materials using Berkovich tips and flat tips // Journal of Materials Research. - 2017. - V. 32(2). - P. 435450.

83. Pan D. et al. A silanol protection mechanism: Understanding the decomposition behavior of surfactants in mesostructured solids // Journal of Materials Research.

- 2011. - V. 26(6). - P. 804-814.

84. Warring S., Beattie D., McQuillan J. Surficial Siloxane-to-Silanol Interconversion during Room-Temperature Hydration/Dehydration of Amorphous Silica Films Observed by ATR-IR and TIR-Raman Spectroscopy // Langmuir. - 2016. -V. 32(6). - P. 1568-1576.

85. Wolfgang K., Wolfgang P. Internal Stresses in Heterogeneous Solids // Journal of Applied Mathematics and Mechanics. - 1991. - V. 71(3). 203 p.

86. Dokukin M.E., Sokolov I. Quantitative mapping of the elastic modulus of soft materials with HarmoniX and PeakForce QNM AFM modes // Langmuir. - 2012.

- V. 28(46). - P. 16060-16071.

87. Qu Z., Meredith J.C. The atypically high modulus of pollen exine // Journal of The Royal Society Interface. - 2018. - V. 15(46). - P. 20180533 (1-12).

88. Pittenger B., Erina N., Su C. Quantitative Mechanical Property Mapping at the Nanoscale with PeakForce QNM // Bruker Appl. Note AN128. - 2010. - P. 1-13.

89. Schneider D. Laser-Induced Surface Acoustic Waves for Material Testing // Handbook of Advanced Nondestructive Evaluation. Springer International Publishing. - 2019. - P. 171-234.

90. Vanstreels K. et al. Effect of pore structure of nanometer scale porous films on the measured elastic modulus // Langmuir. - 2013. - V. 29(38). - P. 12025-12035.

91. Opitz A. et al. Friction of thin water films: A nanotribological study // Surface Science. - 2002. - V. 504(1-2). - P. 199-207.

92. Schneider D. et al. The current limits of the laser-acoustic test method to characterize low-k films // Microelectronic Engineering. - 2005. - V. 82(3-4). - P. 393-398.

93. Fischer-Cripps A.C. Nanoindentation Test Instruments // Introduction to Contact Mechanics. - 2006. - P. 142-158.

94. Kopycinska-müller M. et al. Ultramicroscopy Mechanical characterization of porous nano-thin fi lms by use of atomic force acoustic microscopy // Ultramicroscopy. - 2016. - V. 162. - P. 82-90.

95. Орлов А. А. et al. Формирование диффузионных барьеров в системе медной

металлизации в методе gap filling // Школа молодых ученых «Микроэлектроника-2020». - 2020. - P. 136-138.

96. Vishnevskiy A.S. et al. Effect of water content on the structural properties of porous methyl-modified silicate films // Journal of Sol-Gel Science and Technology. - 2019. - V. 92(2). - P. 273-281.

97. Schmidt H. New type of non-crystalline solids between inorganic and organic materials // Journal of Non-Crystalline Solids. - 1985. - V. 73(1-3). - P. 681-691.

98. Brinker C.J. et al. Evaporation-induced self-assembly: Nanostructures made easy // Advanced Materials. - 1999. - V. 11(7). - P. 579-585.

99. Matheron M. et al. Highly ordered CTAB-templated organosilicate films // Journal of Materials Chemistry A. - 2005. - V. 15(44). - P. 4741-4745.

100. Michalak D.J. et al. Porosity scaling strategies for low-k films // Journal of Materials Research. - 2015. - V. 30(22). - P. 3363-3385.

101. Nenashev R. et al. Effect of bridging and terminal alkyl groups on structural and mechanical properties of porous organosilicate films // Journal of Solid State Science and Technology - 2017. - V. 6(10). - P. N182-N188.

102. Vorotilov K.A., Petrovsky V.I., Vasilev V.A. Effect of Processing Temperature During Spin-on Application on the Properties of Sol-Gel Silica Films // Journal of Sol-Gel Science and Technology. - 1994. - V. 2. - P. 559-562.

103. Bornside D.E., Macosko C.W., Scriven L.E. Spin coating: One-dimensional model // Journal of Applied Physics. - 1989. - V. 66(11). - P. 5185-5193.

104. Haas D.E. et al. Effect of solvent evaporation rate on skin formation during spin coating of complex solutions // Sol-Gel Optics. - 2000. - V. 3943. - P. 280-284.

105. Maki K.L., Kumar S. Fast evaporation of spreading droplets of colloidal suspensions // Langmuir. - 2011. - V. 27(18). - P. 11347-11363.

106. Pham T., Cheng X., Kumar S. Drying of Multicomponent Thin Films on Substrates with Topography // Journal of Polymer Science. - 2016. - V. 55(22). -P. 1681-1691.

107. Bornside D.E. Mechanism for the Local Planarization of Microscopically Rough Surfaces by Drying Thin Films of Spin-Coated Polymer/Solvent Solutions //

Journal of The Electrochemical Society. - 1990. - V. 137(8). - P. 2589-2595.

108. Smolyakov G. et al. AFM PeakForce QNM mode: Evidencing nanometre-scale mechanical properties of chitin-silica hybrid nanocomposites // Carbohydrate Polymers. - 2016. - V. 151. - P. 373-380.

109. Khalisov M., Lebedev V., Poluboyarinov A., Garshev A., Khrapova E., Krasilin A., Ankudinov A. Young's modulus of phyllosilicate nanoscrolls measured by the AFM and by the in-situ TEM indentation. // Nanosystems: Physics, Chemistry, Mathematics. - 2021, - V. 12(1). - P. 118-127.

ПУБЛИКАЦИИ ПО ТЕМЕ ДИССЕРТАЦИИ

Публикации в журналах, входящих в Перечень ВАК РФ и в базы данных Web of Science и Scopus:

1. Ovchinnikov I.S., Vishnevskiy A. S., Seregin D.S., Rezvanov A.A., Schneider D., Sigov A.S., Vorotilov K.A. and Baklanov M.R. Evaluation of mechanical properties of porous OSG films by PFQNM AFM and benchmarking with traditional instrumentation // Langmuir. - 2020. - V. 36(32). - P. 9377-9387 (IF: 3.557 Q1).

2. Ovchinnikov I.S., Orlov G.A., Seregin D.S., Vishnevskiy A.S., Vorotilov K.A.and Sigov A.S. Mechanical properties of nanoporous organosilicate glass films for the use in integrated circuits interconnects // AIP Conference Proceedings. -2020. - V. 2308(1). - P. 050003(1-6).

3. Ovchinnikov I.S., Vorotilov K.A., Seregin D.S., Dalskaya G.Y. Detection of hidden defects in low-k dielectrics by atomic force microscopy // IOP Conference Series: Journal of Physics. - 2019. - V. 1327. - № 1. - P. 012011(1-5).

4. Овчинников И.С. Методы оценки механических свойств изолирующих материалов с низкой диэлектрической проницаемостью // Российский технологический журнал. - 2021. - Т. 9. - № 3. С. 40-48.

5. Ovchinnikov I.S., Seregin D.S., Abdullaev D.A.. Vorotilov K.A., Rezvanov A.A., Gvozdev V.A., Blomberg T., Veselov A.A. Mechanical Properties of Low-K Dielectric Deposited on Subtractively Patterned Cu Lines for Advanced Interconnects // Proc. of IEEE International Interconnect Technology conference IITC2021. - 2021. - P. 1037.

Публикации в сборниках трудов конференций

6. Vishnevskiy A., Ovchinnikov I., Seregin D., Storonkin V., Rezvanov A., Vorotilov K. Mechanical properties of porous sol-gel films // XX International Sol-Gel Conference (Sol-Gel 2019). - 2019. - P.448.

7. Seregin D., Vishnevskiy A., Orlov G., Storonkin V., Ovchinnikov I., Vorotilov K., Baklanov M. Temperature evolution of sol-gel PMO low-k films with

different organic bridges, Advanced Metallization Conference 2019 (ADMETA-2019), Tokyo, Japan.

8. Овчинников И.С., Серегин Д.С., Воротилов К.А., Резванов А.А. Измерение модуля Юнга метил-модифицированных силикатов методом атомно-силовой микроскопии // В сборнике: Российская научно-техническая конференция с международным участием. Информатика и технологии. Инновационные технологии в промышленности и информатике (РНТК ФТИ-2019). Сборник докладов конференции. - 2019. - С. 155-160.

9. Серегин Д.С., Овчинников И.С., Воротилов К.А. Измерение механических свойств пористых изолирующих диэлектриков методом атомно-силовой микроскопии // В сборнике: Информатика и технологии. Инновационные технологии в промышленности и информатике (РНТК ФТИ-2018). Сборник трудов конференции. - 2018. - С. 178-184.

10. Овчинников И.С., Орлов Г.А., Селиванов М.В., Серегин Д.С., Воротилов К.А. Влияние толщины пленки пористого low-k диэлектрика на результат оценки модуля Юнга методом атомно-силовой микроскопии // Сборник докладов Российской научно-технической конференции с международным участием Физико-технологического института РТУ МИРЭА. - 2020. - С. 161-165.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.