Метод и многоканальное устройство отказоустойчивой коммутации и кодирования сетевых потоков данных тема диссертации и автореферата по ВАК РФ 05.13.05, кандидат технических наук Марухленко, Анатолий Леонидович

  • Марухленко, Анатолий Леонидович
  • кандидат технических науккандидат технических наук
  • 2009, Курск
  • Специальность ВАК РФ05.13.05
  • Количество страниц 203
Марухленко, Анатолий Леонидович. Метод и многоканальное устройство отказоустойчивой коммутации и кодирования сетевых потоков данных: дис. кандидат технических наук: 05.13.05 - Элементы и устройства вычислительной техники и систем управления. Курск. 2009. 203 с.

Оглавление диссертации кандидат технических наук Марухленко, Анатолий Леонидович

Введение

1. Задачи, методы, алгоритмы и аппаратные средства коммутации и кодирования сетевых потоков данных в универсальных и 10 специализированных программно-аппаратных комплексах

1.1 Задачи коммутации и кодирования сетевых потоков данных

1.2 Методы и алгоритмы кодирования двоичных потоков данных 13 1.3. Аппаратные средства преобразования двоичного потока

1.4 ПЛИС-реализации алгоритмов кодирования двоичных потоков данных

1.5 Выводы

2. Метод и алгоритм синхрокодирования сетевого потока данных с предварительной генерацией активной таблицы переходов

2.1 Математический базис блочного синхрокодирования двоичного потока данных

2.2 Метод синхрокодирования двоичного потока данных

2.3 Обобщенный алгоритм блочного синхрокодирования

2.3.1. Блок активации ключа

2.3.2. Блок кодирования

2.4 Выводы 58 3 Структурно-функциональная организация устройства обработки сетевых потоков данных с реконфигурируемым коммутатором входных 59 каналов

3.1 Структурная организация устройства

3.2 Организация коммутатора входных каналов

3.3 Выводы 67 4. Оценка производительности, надежности, наращиваемости и аппаратной сложности устройства блочного синхрокдирования сетевых 68 потоков данных

4.1 Разработка имитационной модели устройства

4.2 Моделирование блоков кодирования и декодирования на программном уровне

4.3 Оценка производительности разработанного устройства

4.4 Определение коэффициента готовности, аппаратной сложности и наращиваемости устройства

4.5 Выводы 97 Заключение 98 Список литературы 100 Приложение А 109 Приложение Б 139 Приложение В 166 Приложение Г 195 Приложение Д

Рекомендованный список диссертаций по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Метод и многоканальное устройство отказоустойчивой коммутации и кодирования сетевых потоков данных»

Актуальность темы. Успешное развитие корпораций и государственных структур напрямую связано с возможностью непрерывного оперативного информационного взаимодействия отдельных подразделений. Указанное взаимодействие осуществляется с использованием настраиваемых средств вычислительной техники и соответствующих сетевых технологий и должно быть защищено от вмешательства третьих лиц и сторонних организаций. Проблема обеспечения своевременного взаимодействия между отдельными подразделениями и подсетями, которые в общем случае должны иметь локализованный конфиденциальный трафик даже в рамках единой корпоративной сети, подразумевает построение реконфигурируемой масштабируемой коммутационной среды и аппаратное обеспечение многоуровневой системы конфиденциального обмена. С целыо поддержки процессов защиты сетевых каналов применяются различные алгоритмы и вычислительные устройства, обеспечивающие кодирование передаваемых сетевых потоков.

Существующие устройства кодирования потоков позволяют принимать входящий поток и производить его обработку в соответствии с заданным алгоритмом преобразования. Такие устройства, как правило, реализуются в виде плат расширения, подключаемых к слотам материнской платы ЭВМ (Crypton 3-9, Accord-SB, AncNet) и в виде отдельного блока, интегрируемого в состав вычислительной сети (ОАЗИС-Компакт, «ZP-шифратор», «Crypton IP Mobile»). Некоторые устройства встраиваются в разрыв интерфейсной шины накопителя данных, что дает возможность защищенного хранения данных на соответствующем носителе (Crypton-SATA, Crypton-IDE, Crypton-USB). Известные аналогичные решения зарубежных производителей (Cisco, Siemens) позволяют обеспечить высокую надежность обработки сетевых потоков и рассчитаны на большое число каналов. Их недостатком является весьма высокая стоимость, что делает их недоступными для многих организаций.

Основным недостатком известных решений является недостаточная надежность устройства кодирования, что не позволяет обеспечить непрерывность информационного взаимодействия (при отказе устройства или отдельных его элементов необходима замена всего устройства с прерыванием сетевого канала). Еще один недостаток связан со сложностью интеграции таких устройств в единую структуру, что вызывает необходимость включения дополнительных устройств и концентраторов (следствием этого является дальнейшее снижение надежности и повышение аппаратной сложности получаемого комплексного решения). Существует необходимость разработки устройств кодирования сетевых потоков в части повышения их надежности при одновременном обеспечении наращиваемости по числу входов. Подобные надежные мпоговходовые коммутационно-вычислительные устройства позволят обеспечить несколько параллельно работающих защищенных виртуальных каналов непрерывного сетевого взаимодействия между группой источников и приемников. Данная потребность служит основой для постановки актуальной научно-технической задачи, решаемой в рамках данной работы, заключающейся в разработке метода и структурно-функциональных схем блочного кодирования сетевых потоков с реконфигурируемым коммутатором входных каналов, обеспечивающих повышение надежности коммутационно-вычислительных устройств обработки потока данных, передающегося на канальном уровне между абонентами вычислительной сети при обеспечении возможности наращивания их числа.

Объект исследования: коммутационно-вычислительные устройства кодирования потоков данных, передаваемых в составе корпоративной вычислительной сети.

Предмет исследования: алгоритмы работы, структурные и функциональные схемы устройств кодирования сетевых потоков данных.

Диссертационная работа выполнена в рамках совместных НИР ОХП ОКБ «Авиаавтоматика» Курского ОАО «Прибор» и ГОУ ВПО КурскГТУ, а также в соответствии с планом НИР ГОУ ВПО КурскГТУ а по единому заказнаряду Министерства образования и науки РФ в 2005-2009 годах, утвержденному начальником управления планирования и финансирования научных исследований.

Цель диссертации: повышение надежности коммутационно-вычислительных устройств обработки сетевого потока данных при одновременном обеспечении их наращиваемости по числу входов па основе разработки отказоустойчивых схем блочного кодирования сетевых потоков и применения однородного реконфигурируемого коммутатора входных каналов.

Поставленная научно-техническая задача декомпозируется на следующие частные задачи исследований:

1. Анализ существующих алгоритмов и устройств кодирования сетевых потоков данных.

2. Разработка метода и алгоритма кодирования сетевого потока, позволяющих повысить надежность его обработки.

3. Синтез структурно-функциональных схем отказоустойчивого наращиваемого устройства обработки сетевых потоков.

4. Экспериментальная оценка характеристик разработанного устройства на имитационной модели, обеспечивающей возможность верификации и исследования его надежности при различных потоках отказов и сравнительная оценка надежности, наращиваемости и аппаратной сложности созданного устройства.

Научная новизна результатов исследований:

1. Создан метод кодирования сетевого потока данных, отличающийся предварительной генерацией таблицы переходов, вычислением распределенного маркера синхронизации по настроечным параметрам и позволяющий, уменьшить потери информационных бит и повысить надежность передачи битового потока.

2. - Разработана структурно-функциональная организация устройства кодирования сетевых потоков, реализующая созданный метод кодирования и отличающаяся применением реконфигурируемого наращиваемого трёхмерного коммутатора входных каналов, что позволяет обеспечить логическое изолирование отказавших коммутационных элементов во входном тракте устройства и сохранить возможность информационного обмена для всех подключенных абонентов в реальном масштабе времени.

3. Выведены логические выражения, описывающие процесс реконфигурации трёхмерного коммутатора входных каналов при наличии резервной плоскости элементов с учётом условий запрета на пересечение маршрутов перестройки, позволяющие повысить минимальное число локальных отказов, приводящее к фатальному отказу коммутатора.

4. Синтезирована имитационная модель разработанного устройства на языке УНОЬ, новизна которой заключается в наличии элементов для моделирования отказов в схеме устройства и позволяющая оценить его коэффициент готовности при различных вариантах отказов.

Достоверность результатов диссертации обеспечивается корректным и обоснованным применением положений и методов теории проектирования устройств ЭВМ, математической логики и теории алгоритмов, теории множеств и графов, теории вероятностей, а также подтверждается имитационным моделированием с использованием зарегистрированных в установленном порядке программных средств.

Практическая ценность результатов исследований заключается в том, что разработанная структурно-функциональная организация доведена до уровня функциональных схем, позволяющих создавать специализированные устройства обработки двоичных информационных потоков, обладающие повышенной устойчивостью к отказам отдельных элементов (коммутирующий модуль, входной порт, внутренний канал связи) и влиянию внешних факторов (шумы, несанкционированное воздействие).

На защиту выносятся следующие научные результаты:

1. Метод и алгоритм кодирования сетевого потока данных, отличающийся предварительной генерацией активной таблицы переходов, вычислении распределенного маркера синхронизации на основе настроечных параметров, позволяющие уменьшить потери информационных бит под влиянием внешних факторов.

2. Структурно-функциональная организация устройства кодирования сетевых потоков данных, включающая реконфигурируемый наращиваемый коммутатор входных каналов и позволяющая достичь повышения устойчивости к отказам коммутационных элементов во входном тракте устройства.

3. Логические выражения, описывающие процесс реконфигурации коммутатора входных каналов с резервной плоскостью элементов, учитывающие условия запрета на пересечение маршрутов перестройки и позволяющие повысить минимальное число локальных отказов, ведущее к фатальному отказу коммутатора.

4. Имитационная модель схем устройства на языке УНИЬ, включающая элементы для моделирования отказов в схеме устройства и позволяющая оценить его надежность при различных потоках отказов коммутирующих элементов и внутренних каналов связи.

Практическое использование результатов работы.

Результаты диссертационной работы внедрены в ООО «Микрокод» при создании защищенного программно-аппаратного комплекса, обеспечивающего конфиденциальное взаимодействие групп абонентов, а также используются в обособленном подразделении Верхне-Донского Управления Ростехнадзора, расположенного на территории Курской области и включающего удаленный Железногорский отдел, для организации и разграничения доступа к сведениям, передающихся между абонентами по локальной и территориально распределенной вычислительной сети. Научно-методические результаты диссертации используются в учебном процессе КурскГТУ на кафедре комплексной защиты информационных систем в рамках дисциплин «Программно-аппаратная защита информации», «Защита информационных процессов в компьютерных системах», «Технические средства защиты информации».

Апробация работы. Основные результаты диссертационной работы докладывались и получили положительную оценку на VI Всероссийской научно-технической конференции «Проблемы информатики в образовании управлении, экономики и технике» (г. Пенза, 2006 г.), Межвузовской научно-технической конференции студентов и аспирантов в области научных исследований «Молодёжь и XXI век» (Курск, 2008), I Международной научно-технической конференции «Инновации, качество и сервис в технике и технологиях» (г. Курск, 2009 г.), Международной научно-технической конференции «Информационно-измерительные, диагностические и управляющие системы. Диагностика-2009» (г. Курск, 2009 г.), а также на научных семинарах кафедр комплексной защиты информационных систем и вычислительной техиики КурскГТУ в период с 2005 по 2009 год.

Публикации по теме диссертации, Основные результаты проведенных исследований опубликованы в 10 работах, среди которых имеется 1 статья в научном издании, входящем в перечень ВАК Минобрнауки РФ, и 3 свидетельства о государственной регистрации программы для ЭВМ.

Личный вклад соискателя. Все выносимые на защиту научные результаты получены соискателем лично. В работах по теме диссертации, опубликованных в соавторстве, личный вклад соискателя сводится к следующему: в [8,48] предложен алгоритм, позволяющий на основе настроечных параметров сгенерировать ключ и активную таблицу переходов состояний блоков; в [25] разработаны схемные реализации алгоритма кодирования; в [84, 94, 102] разработана архитектура программных средств и реализован ряд ключевых программных модулей.

Похожие диссертационные работы по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Заключение диссертации по теме «Элементы и устройства вычислительной техники и систем управления», Марухленко, Анатолий Леонидович

4.6 ВЫВОДЫ

1. Синтезирована имитационная модель коммутатора входных каналов разработанного устройства на языке УЫЗЬ, включающая элементы для моделирования отказов в схеме устройства и позволяющая исследовать его надежность при различных потоках отказов коммутирующих элементов и внутренних каналов связи.

2. Получены зависимости коэффициента готовности разработанного устройства от интенсивности потоков отказов, показывающие повышение указанного коэффициента с 0.95 до 0.99, что позволяет считать взаимодействие абонентов практически непрерывным.

3. Рассчитаны показатели наращиваемости и аппаратной сложности созданного устройства, демонстрирующие возможность подключения к устройству до 64 абонентов при снижении коэффициента готовности не более чем на 0.03 и при аппаратной избыточности не более 10%.

ЗАКЛЮЧЕНИЕ

В работе решена научно-техническая задача разработки отказоустойчивых схем блочного кодирования сетевых потоков данных с реконфигурируемым коммутатором входных каналов, обеспечивающих повышение надежности коммутационно-вычислительных устройств кодирования сетевого потока при одновременном обеспечении их наращиваемости по числу входов.

Основными результатами диссертации является следующее:

1. Разработан метод и алгоритм кодирования сетевого потока данных, новизна которых заключается в предварительной генерации активной таблицы переходов по правилам модулярной арифметики, вычислении распределенного маркера синхронизации на основе настроечных параметров, позволяющие уменьшить потери информационных бит под влиянием внешних факторов.

2. Предложена структурно-функциональная организация устройства обработки сетевых потоков, включающая реконфигурируемый наращиваемый коммутатор входных каналов и позволяющая, тем самым, достичь повышения отказоустойчивости коммутационных элементов во входном тракте устройства.

3. Получены логические выражения, описывающие процесс реконфигурации трёхмерного коммутатора входных каналов с резервной плоскостью элементов, учитывающие условия запрета на пересечение маршрутов перестройки и позволяющие повысить минимальное число локальных отказов, ведущее к фатальному отказу коммутатора.

4. Синтезирована имитационная модель устройства на языке УНИЬ, включающая элементы для моделирования отказов в схеме устройства и позволяющая исследовать его надежность при различных потоках отказов коммутирующих элементов и внутренних каналов связи.

5. Получены зависимости коэффициента готовности разработанного устройства от интенсивности потоков отказов, показывающие повышение указанного коэффициента с 0.95 до 0.99, что позволяет считать взаимодействие абонентов практически непрерывным.

6. Рассчитаны показатели наращиваемости и аппаратной сложности созданного устройства, демонстрирующие возможность подключения к устройству до 64 абонентов при снижении коэффициента готовности не более чем на 0.03 и при аппаратной избыточности не более 10%. Результаты диссертационного исследования могут быть использованы при проектировании высокоскоростных отказоустойчивых логических устройств блочной обработки непрерывного потока данных, устройств автоматического управления повышенной надёжности, а также отказоустойчивых аппаратных систем кодирования двоичного информационного потока в реальном времени.

Список литературы диссертационного исследования кандидат технических наук Марухленко, Анатолий Леонидович, 2009 год

1. Шалыто, A.A. Алгоритмизация и программирование задач логического управления / A.A. Шалыто // С.-Петерб. гос. ун-т инф. технол., механики и оптики. СПб., 1998. 56 с.

2. Абдель-Джалил, Дж.Н. Алгоритмы межпроцессорного взаимодействия в отказоустойчивых многопроцессорных системах / Дж.Н. Абдель-Джалил, Э.И. Ватутин, И.В. Зотов и др. // Методы и системы обработки информации. Муром, 2004. С. 117-125.

3. Панасенко, С.П. Аппаратура разграничения и контроля доступа к информации / С.П. Панасенко // Вопросы защиты информации /М, 2004 № 1-С. 62-65.

4. Зотов, И.В. Архитектура и синтез параллельных логических мультимикроконтроллеров: в 2 ч. / И.В. Зотов, B.C. Титов, В.И. Штейнберг и др. / Курск, гос. техн. ун-т. Курск, 2006. 359 с.

5. Шахов, В.Г. Безопасность информационных систем/ В.Г. Шахов.Омск. Омский филиал института математики им. С.Л.Соболева СО РАН — 2000. — 238 с.

6. Варфоломеев A.A., Жуков А.Е., Мельников А.Б., Устюжанин Д.Д. Блочные криптосистемы. Основные свойства и методы анализа стойкости. М.: МИФИ, 1998. 200с.

7. М. Буассо, М. Деманж, Ж.-М. Мюнье Введение в технологию ATM An Introduction to ATM Technology Издательство: Радио и связь, 1997 г., 128 с.

8. Малышкин В.Э.Дыгулин A.A. ParaGen Генератор параллельных программ, реализующих численные модели // Автометрия. - 2003. - Т. 39, № 3. - С. 124-135

9. Пархоменко П.П. Гиперкубовая архитектура многопроцессорных вычислительных систем с реберным расположением процессорных элементов//Техническая кибернетика. 1994. №2

10. Строгалев В. П., Толкачева И. О. Имитационное моделирование. — МГТУ им. Баумана, 2008. — С. 697-737.

11. Кельтон В.Д., JToy A.M. Имитационное моделирование. Классика CS. 3-е изд. СПб.: Питер; Киев: Издательская группа BHV, 2004. - 847 с.

12. Смирнов М. А. Использование методов сжатия данных без потерь информации в условиях жестких ограничений на ресурсы усойства — декодера, //www.compression.ru

13. Столпнгс В., Криптография и защита сетей. Принципы и практика М: Издательский дом «Вильяме», 2001. 672 с.

14. Молдовян A.A., Молдовян H.A., Советов Б.Я. Криптография. СПб.: Лань, 2000.- 118 с.

15. Молдовян A.A., Молдовян H.A., Гуц Н.Д., Изотов Б.В., Криптография. Скоростные шифры. СПб.: БХВ-Петербург, 2002. 496 с.

16. Закревский, А.Д. Логические уравнения с приложениями в автоматизированном проектировании и управлении / А.Д. Закревский // Автоматика и телемеханика. 2004. №4. С. 173-184.

17. Шалыто, A.A. Логическое управление. Методы аппаратной и программной реализации / A.A. Шалыто. СПб.: Наука, 2000. 780 с.

18. Кун С. Матричные процессоры на СБИС.: Пер. с англ. М.: Мир, 1991 672 с.

19. Ватолин Д., Ратушняк А., Смирнов М., Юкин В. Методы сжатия данных. Усойство архиваторов, сжатие изображений и видео. М.: ДИАЛОГ-МИФИ, 2002; 384 с.

20. Хассон, С. Микропрограммное управление: пер. с англ. / С. Хассон. М.: Мир, 1974. 717 с.

21. Пузанков Д.В. Микропроцессорные системы. Учебное пособие для вузов. Пузанков Д.В.-СПб.: Политехника, 2002.- 935 с.

22. Алексенко А.Г., Шагурин И.И. Микросхемотехника. М.: Радио и связь, 1990.-496 с.

23. Советов Б. Я., Моделирование систем: Учеб. для вузов. Яковлев С. А. 3-е изд., перераб. и доп. - М.: Высш. шк., 2001. - 343 с

24. Т. Б. Денисова, Б. Я. Лихтциндер, А. Н. Назаров, М. В. Симонов, С. М. Фомичев Мультисервисные АТМ-сети Издательство: Эко-Трендз, 2005 г., 320 с.

25. Лаходынова, Н.В. Об одном методе обеспечения отказоустойчивости процессорных матриц / Н.В. Лаходынова // Автометрия. 2002. Т.38, №6. С. 79-87.

26. Музыченко О.Н. Однородные и регулярные структуры для реализации симметричных функций алгебры логики Текст./ О.Н. Музыченко // Автоматика и телемеханика. -1998. -№4. -С.152-164.

27. Официальный сайт ООО «Анкад» электронный ресурс.: /Internet. — http://anlcud.ru/, 2009.

28. Абдель-Джалил, Дж.Н. Организация отказоустойчивого межпроцессорного взаимодействия в матричных мультикомпыотерах / Дж.Н. Абдель-Джалил, А. Аль-Хади, И.В. Зотов и др. // Изв. ТулГУ. Бизнес-процессы и бизнес-системы. 2006. Вып. 4. С. 3-9.

29. Вернер М. Основы кодирования. Учебник для ВУЗов. — М.: Техносфера, 2004; 288 с.

30. Новиков Ю.В. Основы локальных сетей /Интернет-университет информационных технологий / Новиков Ю.В., Кондратенко C.B.// -ИНТУИТ.ру, 2005, 360 е.

31. Панасенко С.П. «Отечественный стандарт шифрования» Мир и безопасность. 2003 - № 5 - с. 23-25.

32. Малышкин В.Э., Романенко A.A. Отладчик параллельных программ для мультикомпыотера // Автометрия. 2003. - Т. 39, № 3. - С. 109-114

33. Закревский, А.Д. Параллельные алгоритмы логического управления / А.Д. Закревский. М.: Едиториал УРСС, 2003. 200 с.

34. А. Филимонов Построение мультисервисных сетей Ethernet, Издательство: БХВ-Петербург, 2007 г., 592 с.

35. Харченко, B.C. Принципы построения и оценка эффективности всоенных микроконтроллеров с программируемой синхронизацией / B.C. Харченко, П.Е. Марков // Управляющие системы и машины. 1992. №3/4. С. 53-59.

36. Домашев А. В., Попов В.О., Правиков Д.И., Прокофьев И.В., Щербаков А.Ю. Программирование алгоритмов защиты информации. М.: Нолидж, 2000. 288 с.

37. Глушаков C.B. Программирование на С++ Эффективное руководство. Глушаков С.В.,Дуравкина Т.В. Издательство: ACT. 2008. 685 с.

38. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах программируемой логики.-СПб.: БХВ-Петербург,2002.-608 с.

39. Стешенко В.Б. ПЛИС фирмы ALTERA. Проектирование усойств обработки сигналов. Москва, Додэка XXI, 2000 - 128 с.

40. Суворова Е. А., Шейнин Ю. Е. Проектирование цифровых схем на VHDL — БХВ-Петербург, 2003. 576 с.

41. Дж.Ф. Уйкерли Проектирование цифровых усойств. М.: Постмаркет, 2002, т. I - 544 е., т. II - 528 с.

42. Гольдштейн Б.С. Протоколы сети доступа. Том 2. Издательство: Радио и связь, 2001. 296 с.

43. Пархоменко П.П. Размещение копий ресурсов вычислительных систем на графах общего вида // Автоматика и телемеханика. 1999. №6

44. Марухленко А.Л. Разработка высокоскоростного алгоритма кодирования бинарного потока данных / Марухленко А.Л., Лопин В.Н. // Известия Курского государственного технического университета. Курск, 2007.-№ 2 (19). С.-48-50.

45. Палагин, A.B. Реализация микропрограммных автоматов на ПЛИС / A.B. Палагин, A.A. Баркалов, С.И. Юсифов и др. // Управляющие системы и машины. 1991. №8. С. 18-22.

46. Соловьев, В.В. Реализация на программируемых матрицах логики параллельных алгоритмов логического управления / В.В. Соловьев // Управляющие системы и машины. 1995. №6. С. 24-30.

47. Черемисинова, Л.Д. Реализация параллельных алгоритмов логического управления / Л.Д. Черемисинова; Ин-т техн. кибернетики HAH Беларуси. Минск, 2002. 246 с.

48. Официальный сайт Сергея Панасенко электронный ресурс.: /Internet. — http://www.panasenlco.ru/, 2009.

49. Денисенко Е.Л. Сеть параллельных автоматов // УСиМ, 1998, №3. с. 3436.

50. Сэломон Д. Сжатие данных, изображений и звука. М.: Техносфера, 2004; 368 с.

51. Гольдштейн Б.С. Сигнализация в сетях связи-М.: Радио и связь, 2001. Т. 1. 448 с

52. Соловьев, В.В. Синтез иерархических схем параллельных усойств логического управления из ПЛИС / В.В. Соловьев // Автоматика и вычислительная техника. 1995. №6. С. 3-15.

53. Вальковский В.А., Малышкин В.Э. Синтез параллельных программ и систем на вычислительных моделях. Новосибирск: Наука. Сиб. отд-ние, 1988. - 129 е.; C.B. Бобровский Эволюция и искусственная жизнь // PC Week № 3 - 2005. - С. 26-34

54. С. Брени Синхронизация цифровых сетей связи, Издательство "Мир", 2003 г., 417 с.

55. Комолов Д. А., Мяльк Р. А., Зобенко А. А., Филиппов А. С. Системы автоматизированного проектирования фирмы ALTERA: Max+PlusII и QuartusII краткое описание и самоучитель — М.: РадиоСофт, 2002. 360 с.

56. Гольдштейн Б.С. Системы коммутации. Учебник для ВУЗов. 2-е изд. — СПб.: БХВ Санкт-Петербург, 2004. 318 с.

57. Бродин В.Б., Калинин A.B. Системы на микроконтроллерах и БИС программируемой логики.-М.: Издательство ЭКОМ, 2002.- 400 с.

58. Чмора А., Современная прикладная криптография М: Гелиос АРВ, 2002. 256 с.

59. Корнеев В.В., Киселев A.B. Современные микропроцессоры. М.: Нолидж, 2000.-320 с.

60. Рябко Б.Я., Пестунов А.И. "Стопка книг" как новый статистический тест для случайных чисел // Пробл. передачи информации. 2004. Т. 40, вып. 1. С. 73-78.

61. Марухленко А.Л. структура отказоустойчивого мультипроцессора для алгоритма высокоскоростной обработки битового потока данных /

62. Марухленко A.JI. // Известия ТулГУ. Серия. Технические науки. Выпуск 1. Ч: 2. Тула: Изд-во ТулГУ, 2009.-С. 26-31.

63. Давыдкин П. Н., Колтунов М. Н., Рыжков А. В. Тактовая сетевая синхронизация Издательство: Эко-Трендз, 2004 г., 208 с.

64. Б. Крук. В. Попантонопуло, В. Шувалов. Телекоммуникационные системы и сети т. 1, Издательство "Наука" Новосибирск, 1998 г., 536 с.

65. В. Величко, Е. Субботин, В. Шувалов, Ф. Ярославцев Телекоммуникационные системы и сети т. 3, Издательство "Горячая линия -Телеком", 2005 г., 536 с.

66. Игнатов В.А. Теория информации и передачи сигналов: Учебник для вузов. -2-е изд., перераб. и доп. -М.: Радио и связь, 1991; 280 с.

67. Лидовский В. В. Теория информации: Учебное пособие. — М.: Компания Спутник+, 2004; 113 с.

68. И. Г. Бакланов Технологии измерений первичной сети. Часть 1. Системы El, PDH, SDH Издательство: Эко-Трендз, 2000 г., 142 с.

69. И. Г. Бакланов Технологии измерений первичной сети. Часть 2. Системы синхронизации, B-ISDN, ATM Издательство: Эко-Трендз, 2000 г., 150 с.

70. Кучерявый А. Е., Пяттаев В. О., Моисеев С. М. Технология ATM на российских сетях связи Издательство: Радио и связь, 2002 г., 320 с.

71. Угрюмов Е.П. Цифровая схемотехника.- СПб.: БХВ-Петер бур г, 2001.-528 с.

72. Росляков Д.И. Новые технологические решения в построении отказоустойчивых систем // Информационные технологии. 1998, № 1. С. 30—36.

73. А. В. Шмалько Цифровые сети связи. Основы планирования и построения Издательство: Эко-Трендз, 2001 г., 284 с.

74. Семешок В. В. Экономное кодирование дискретной информации. СПб.: СПб ГИТМО (ТУ), 2001; 115 с.

75. Артамонов В.А. Элементы криптологии Текст. / В.А. Артамонов // Соросовский образовательный журнал. 2000. Т 6, № 5. С. 123-127.

76. Антонов А.П. Язык описания цифровых устройств. ALTERA HDL. Практический курс.-М.: ИП Радио Софт, 2002.- 224.

77. Болски М.И. Язык программирования Си. М.: Радио и связь, 1988. 96 с.

78. Gomez, М.Е. A routing methodology for achieving fault tolerance in direct networks / M.E. Gomez, N.A. Nordbotten et al. // IEEE Transactions on Computers. 2006. Vol.55, N4. P. 400-415.

79. Ageenko E.I. Context-based compression of binary images. University of Joensuu, Computer Science, Dissertations 4 Joensuu, 2000, 110 pages.

80. Свидетельство об официальной регисации программы для ЭВМ № 2008612086. Crypt 3.51 Light / А.Л. Марухленко, И.В. Калуцкий (РФ). М.: РосПатент; заявлено 26.02.2008; дата регисации 28.03.2008.

81. Wagner D., Ferguson N., Schneier В. Cryptanalysis of FROG // Proc. Second AES Candidate Conf., 1999. http://www.schneier.com/paper-frog.pdf

82. Advanced Encryption Algorithm (AES) Development Effort // 1997-2000.http://csrc.nist.gov/encryption/aes

83. Golic J.Dj. Linear models for keystream generators. IEEE Transactions on Computers, Vol. 45. January 1996. p. 41-49.

84. Burwick C. et. al. MARS a candidate cipher for AES // AES submission. 1999.http://www.research.ibm.com/security/mars.pdf

85. Kelsey J., Schneier B. MARS Attacks! Preliminary Cryptanalysis of Reduced-Round MARS Variants // Proc. Third AES Candidate Conf., 2000. http://www.schneier.com/paper-mars-attacks.pdf

86. MAX 9000: Programmable logic device family. Data sheet / ALTERA Corp. 1999, July (Version 6.01). 41 p.

87. MAX II device handbook. Chapter 2: MAX II architecture / ALTERA Corp. 2006. Vol.l (MII51002-1.7). 41 p.

88. Microprogram controller CAST C49410 Altera megafunction. Datasheet / CAST Inc. 2004. 2 p.

89. Zotov, I.V. Model of fault-tolerant message routing for matrix-type microcontroller networks / I.V. Zotov // Automatic Control and Computer Sciences. 2002. Vol.36, N2. P. 15-26.

90. Свидетельство об официальной регисации программы для ЭВМ № 2008611539. Neural Element Configurator / A.JI. Марухленко и др. (РФ). -М.: РосПатент; заявлено 11.02.2008; дата регисации 26.03.2008.

91. Solov'eva F.I., On perfect codes and related topics, Lecture Notes, Pohang University of Science and Technology (POSTECH), Korea, 2004, 80 pp.

92. PLIS Complete Tutorial электронный ресурс.: /Internet. -http://electronicspal/plis/, 2008.

93. Fluhrer S.R., McGrew D.A. Statistical analysis of the alleged RC4 keystream generator. Fast Software Encryption, Cambridge Security Workshop Proceedings, 2000. p. 127-139.

94. Stratix II device handbook. Section I: Stratix II device family data sheet / ALTERA Corp. 2007, May. Vol.l (SII51001-4.2). 238 p.

95. Stratix II. 90-nm high-performance & high-density FPGAs. Stratix II Brochure. February 2004. ALTERA, 2004. 8 p.

96. Rivest R. L. The RC4 Encryption Algorithm. Dr. Dobb's Journal. January 1995. p. 146- 148.

97. Сергиенко A.M. VHDL для проектирования вычислительных устройств. К.: ЧП Корнейчук, ООО ТИД ДС , 2003.-208 с.

98. Свидетельство об официальной регисации программы для ЭВМ № 2008612653. Visual Crypt 4.0 / A.JI. Марухленко, С.JI. Марухленко (РФ). -М.: РосПатент; заявлено 12.03.2008; дата регисации 28.04.2008.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.