Методы решения задач с переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах тема диссертации и автореферата по ВАК РФ 05.13.11, кандидат технических наук Сорокин, Дмитрий Анатольевич

  • Сорокин, Дмитрий Анатольевич
  • кандидат технических науккандидат технических наук
  • 2012, Таганрог
  • Специальность ВАК РФ05.13.11
  • Количество страниц 187
Сорокин, Дмитрий Анатольевич. Методы решения задач с переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах: дис. кандидат технических наук: 05.13.11 - Математическое и программное обеспечение вычислительных машин, комплексов и компьютерных сетей. Таганрог. 2012. 187 с.

Оглавление диссертации кандидат технических наук Сорокин, Дмитрий Анатольевич

ВВЕДЕНИЕ.

1. АНАЛИЗ МЕТОДОВ РЕШЕНИЯ ЗАДАЧ НА РЕКОНФИГУРИРУЕМЫХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМАХ.

1.1. Особенности архитектуры реконфигурируемых вычислительных систем.

1.2. Методы синтеза параллельно-конвейерных программ для решения задач на реконфигурируемых вычислительных системах.

1.3. Сильносвязанные задачи с существенно-переменной интенсивностью потока данных.

1.4. Принцип синтеза параллельно-конвейерных программ для решения задач с существенно-переменной интенсивностью потоков данных на РВС.

1.5. Выводы.

2. МЕТОДЫ СИНТЕЗА ПАРАЛЛЕЛЬНО-КОНВЕЙЕРНЫХ ПРОГРАММ ДЛЯ РЕШЕНИЯ ЗАДАЧ С СУЩЕСТВЕННО ПЕРЕМЕННОЙ ИНТЕНСИВНОСТЬЮ ПОТОКОВ ДАННЫХ НА РЕКОНФИГУРИРУЕМЫХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМАХ.

2.1. Метод синтеза параллельно-конвейерных программ на основе редукции по числу базовых подграфов.

2.2. Метод синтеза параллельно-конвейерных программ на основе редукции по числу выполняемых операций.

2.3. Метод синтеза параллельно-конвейерных программ на основе редукции по разрядности обрабатываемых операндов.

2.4. Метод синтеза параллельно-конвейерных программ на основе редукции по скважности и частоте.

2.5. Методика синтеза параллельно-конвейерных программ на основе многокритериальной редукции.

2.6. Выводы.

3. РЕШЕНИЕ ЗАДАЧИ ДОКИНГА НА РВС В ЕДИНОМ ВЫЧИСЛИТЕЛЬНОМ КОНТУРЕ.

3.1. Описание математической модели задачи докинга.

3.2. Анализ вычислительной структуры исходного информационного графа задачи докинга.

3.3. Сокращение требуемых аппаратных затрат на реализацию задачи докинга в едином вычислительном контуре на РВС.

3.4. Экспериментальные исследования.

3.5. Выводы.

Рекомендованный список диссертаций по специальности «Математическое и программное обеспечение вычислительных машин, комплексов и компьютерных сетей», 05.13.11 шифр ВАК

Введение диссертации (часть автореферата) на тему «Методы решения задач с переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах»

Актуальность темы. Научно-технический прогресс требует неуклонного роста производительности многопроцессорных вычислительных систем, что обусловлено необходимостью быстрого решения сложных задач в заданном интервале времени. Наиболее распространённые многопроцессорные кластерные системы [1], каждый узел которых построен по фон-неймановской архитектуре, удовлетворяют этим требованиям на задачах, не требующих большого числа информационных обменов. Однако при решении на кластерных системах сильносвязанных задач, в которых число информационных обменов сопоставимо с числом выполняемых операций, время, затрачиваемое на организацию процесса параллельных вычислений, оказывается сравнимым с временем, затрачиваемым на непосредственные вычисления. В связи с этим для многопроцессорных кластерных систем с увеличением числа процессоров наблюдается либо выход на фиксированный уровень, либо падение производительности [2, 3]. Большинство сильносвязанных задач, решаемых на многопроцессорных кластерных системах, ограниченно использованием 16-32-х процессоров.

Альтернативой кластерным системам являются активно развиваемые в настоящее время реконфигурируемые вычислительные системы [4, 5] (РВС), построенные на программируемых логических интегральных схемах (ПЛИС) типа FPGA (Field Programmable Gâte Array) [6]. FPGA представляет собой матрицу логических вентилей, реализующих базовые двоичные операции AND, NAND, OR, NOR и XOR, а также могут дополнительно содержать блоки, аппаратно поддерживающие высокоскоростные интерфейсы ввода-вывода, блоки встроенной памяти и блоки цифровой обработки сигналов. Благодаря возможностям программирования на ПЛИС FPGA гибкой и сложной логики, РВС с успехом применяются для решения сильносвязанных задач различных проблемных областей науки и техники и демонстрируют при этом линейный рост производительности при увеличении аппаратного ресурса. Это достигается за счет того, что в РВС каждый функциональный блок активно используется при решении задачи и при этом аппаратно реализуется множество каналов связи. Данное обстоятельство избавляет от таких проблем, свойственных кластерным системам, как задержки при межпроцессорном обмене или при обращении к общей памяти.

При решении задач на РВС, в основном, используется структурная [4] организация вычислений, при которой выполняется аппаратная реализация информационного графа задачи. Такой подход обеспечивает обработку и передачу данных в едином темпе в вычислительной структуре, что и обуславливает эффективность решения задач. Однако структурная организация вычислений зачастую требует больших аппаратных затрат на реализацию информационного графа задачи. Одной их важнейших характеристик вычислительной структуры, определяющей требуемый объём ресурса РВС, является интенсивность потоков данных (произведение числа информационных каналов на скорость передачи данных) между функционально законченными подграфами информационного графа задачи.

На РВС эффективно решаются задачи, для которых интенсивность потока данных практически одинакова в любом месте аппаратно реализованной вычислительной структуры задачи. Это обуславливается тем, что можно легко масштабировать вычислительную структуру решаемой задачи и, таким образом, реализовать ее на имеющемся ресурсе реконфигурируемой вычислительной системы.

В то же время существует большой класс задач, в которых интенсивность потоков данных в разных местах вычислительной структуры отличается более чем на два десятичных порядка. Задачи, в которых интенсивность потоков данных в разных местах вычислительной структуры отличается на 3-4 десятичных порядка, будем называть задачами с существенно переменной интенсивностью потоков данных. К числу таких задач относятся, в частности, задачи транскодирования видеоизображений, молекулярного конструирования лекарств, автоматизированного размещения элементов и трассировки электрических соединений устройств электронной техники. Вычислительную структуру таких задач масштабировать практически невозможно, поэтому структурная реализация информационного графа такой задачи может потребовать ресурса большего, чем весь аппаратный ресурс выбранной РВС. Специалисты отмечают, что если ресурса не хватает, то необходимо от структурной реализации задачи переходить к мультипроцедурной (когда каждый процессор работает по своей независимой программе) [4,5]. Однако мультипроцедурная реализация, характерная для кластерных систем, не эффективна для РВС. Переход к мультипроцедурной реализации приведет к падению реальной производительности более чем на два десятичных порядка.

В этой связи целесообразна такая редукция вычислительной структуры информационного графа задачи, которая позволила бы реализовать её аппаратно на РВС. В настоящее время формализованных методов синтеза параллельно-конвейерных программ для РВС на основе редукции вычислительных структур для решения задач с существенно переменной интенсивностью потоков данных не существует. Более того, напрямую сокращать затраты на реализацию вычислительной структуры возможно только для ограниченного класса решаемых задач за счет масштабирования по числу базовых подграфов. Поэтому более перспективной является редукция производительности РВС, приводящая, в свою очередь, к снижению аппаратных затрат. Это обусловлено тем, что редуцировать производительность можно по большему числу параметров, среди которых число базовых подграфов, число операций базового подграфа, разрядность обрабатываемых операндов, тактовая частота и скважность. Здесь и далее под редукцией производительности будем понимать сокращение параметров производительности вычислительной структуры, приводящее к снижению аппаратных затрат.

Таким образом, актуально создание новых методов синтеза параллельно-конвейерных программ на основе редукции производительности вычислительной структуры, обеспечивающих решение задач с существенно переменной интенсивностью потоков данных на РВС в условиях ограниченного аппаратного ресурса при заданном уровне реальной производительности.

Объектом исследования являются методы синтеза параллельно-конвейерных программ для решения сильносвязанных задач на реконфигурируемых вычислительных системах.

Целью диссертации является сокращение аппаратных затрат, требуемых для реализации вычислительных структур, полученных в результате синтеза параллельно-конвейерных программ для РВС.

Методы исследований. При проведении теоретических исследований были использованы основы теории графов, теории множеств, методы структурной и структурно-процедурной организации вычислений. Практические исследования проведены на действующих многопроцессорных вычислительных системах и реконфигурируемых вычислительных системах.

Научная задача, решаемая в диссертации, - создание методов синтеза параллельно-конвейерных программ для решения задач с существенно переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах в условиях ограниченного аппаратного ресурса при заданном уровне реальной производительности.

Для достижения поставленной цели решены следующие задачи исследования:

1) проведен анализ методов синтеза параллельно-конвейерных программ для решения задач на реконфигурируемых вычислительных системах;

2) разработаны правила синтеза параллельно-конвейерных программ на основе редукции производительности вычислительной структуры информационного графа задачи с существенно-переменной интенсивностью потоков данных и доказано, что их применение обеспечивает сокращение требуемых аппаратных затрат РВС;

3) разработана методика синтеза параллельно-конвейерных программ на основе многокритериальной редукции производительности вычислительной структуры информационного графа задачи с существенно-переменной интенсивностью потоков данных, отвечающая предложенным правилам;

4) на основании разработанной методики впервые созданы параллельно-конвейерный алгоритм и прикладная программа решения задачи молекулярного докинга с существенно переменной интенсивностью потоков данных на РВС и оценена эффективность полученного решения.

Научная новизна диссертации состоит в том, что в ней:

1) разработаны правила синтеза параллельно-конвейерных программ, которые в отличие от известных основаны на редукции производительности вычислительной структуры информационного графа задачи и обеспечивают принципиальную возможность решения на РВС задач с существенно переменной интенсивностью потоков данных;

2) формализованы методы синтеза параллельно-конвейерных программ, которые в отличие от известных основаны на однокритериальной редукции производительности по числу операций базового подграфа и по разрядности обрабатываемых операндов вычислительной структуры информационного графа задачи;

3) разработан новый метод синтеза параллельно-конвейерных программ, который в отличие от известных основан на редукции производительности по тактовой частоте и скважности и обеспечивает сбалансированную по производительности реализацию подзадач в едином вычислительном контуре;

4) разработана новая методика синтеза параллельно-конвейерных программ, которая в отличие от известных основана на многокритериальной редукции производительности вычислительной структуры информационного графа задачи и позволяет решать на РВС задачи с существенно-переменной интенсивностью потоков данных в едином вычислительном контуре;

5) на основе многокритериальной редукции производительности впервые разработан параллельно-конвейерный алгоритм решения на РВС задачи молекулярного докинга со структурной организацией вычислений.

Практическая ценность работы. Использование предлагаемых методов позволяет сократить требуемые аппаратные затраты РВС при решении задач с существенно переменной интенсивностью потоков данных и, как следствие, расширить класс решаемых на РВС задач. Созданная методика синтеза параллельно-конвейерных программ на основе многокритериальной редукции позволяет создавать такие параллельно-конвейерные программы для задач с существенно переменной интенсивностью потоков данных, которые работают значительно быстрее, чем параллельные программы на кластерных МВС, в частности, параллельно-конвейерная программа задачи молекулярного докинга на одной плате РВС выполняется в 50 раз быстрее по сравнению с 32 узлами кластерной МВС.

Использование результатов работы. Материалы диссертации использовались при выполнении ряда НИОКР. К наиболее значимым относятся:

- НИР «Исследование и разработка методов и средств технологии суперкомпыотерного молекулярного моделирования на базе реконфигурируемых вычислительных систем» (шифр 2008-04-1.4-15-05-001, 2009), №ГР01200853499;

- ОКР «Создание семейства высокопроизводительных многопроцессорных вычислительных систем с динамически перестраиваемой архитектурой на основе реконфигурируемой элементной базы и их математического обеспечения для решения вычислительно трудоемких задач», выполняемой в рамках федеральной целевой программы «Исследования и разработки по приоритетным направлениям развития научно-технологического комплекса России на 2007-2012 годы» по государственному контракту №02.524.12.4002 от 20.04.2007 г. на выполнение опытно-конструкторских работ, шифр «Большая медведица», №ГР 01.2.007 05707;

- НИР «Принципы и методы программирования реконфигурируемых многопроцессорных вычислительных систем» №ГР 0120.085.00115, Ростов-на-Дону, ЮНЦ РАН, 2009;

- НИР «Разработка научно-технических основ создания многопроцессорных вычислительных систем сверх-петафлопсной производительности и подготовка кадров высшей квалификации в области распределенных вычислений» (шифр 2009-1.1-215-002-013, 2010), №ГР 01200958384;

- ОКР «Разработка технологии создания высокопроизводительных модульно-наращиваемых многопроцессорных вычислительных систем с программируемой архитектурой на основе реконфигурируемой элементной базы», шифр «Медведь», выполняемая в рамках Федеральной целевой программы «Исследования и разработки по приоритетным направлениям развития науки и техники на 2002-2006 гг.» по госконтракту №02.447.11.1007 от «6» июля 2005 года, №ГР 0122.0510630;

- НИР «Разработка теоретических основ построения сверхвысокопроизводительных реконфигурируемых вычислительных систем» (шифр «Маска», 2011), №ГР01201153442.

Апробация работы. Основные результаты работы докладывались и обсуждались на всероссийских и международных научно-технических конференциях: на научно-практической конференции молодых ученых и специалистов «Технологии высокопроизводительных вычислений и компьютерного моделирования» (University of Amsterdam, г. Амстердам, Нидерланды, 2012); на седьмой международной научной молодежной школе «Высокопроизводительные вычислительные системы» (Таганрог, 2010); на шестой ежегодной научной конференции студентов и аспирантов базовых кафедр ЮНЦ РАН (г. Ростов-на-Дону, 2010); на пятой ежегодной научной конференции студентов и аспирантов базовых кафедр ЮНЦ РАН (г. Ростов-на-Дону, 2009); на международной научно-технической конференции «Многопроцессорные вычислительные и управляющие системы (МВУС-2009)» (Таганрог, 2009); на международной молодежной научно-технической конференции и пятой международной молодежной школе «Высокопроизводительные вычислительные системы (ВПВС-2008)» (Таганрог,

2008); на международной научной молодежной школе «Системы и средства искусственного интеллекта (ССИИ-2008)» (пос. Кацивели, АР Крым, Украина, 2008); на третьей ежегодной научной конференции студентов и аспирантов базовых кафедр ЮНЦ РАН (г. Ростов-на-Дону, 2007);.

Структура диссертации. Диссертация состоит из введения, трех глав, заключения, списка использованных источников и пяти приложений.

Похожие диссертационные работы по специальности «Математическое и программное обеспечение вычислительных машин, комплексов и компьютерных сетей», 05.13.11 шифр ВАК

Заключение диссертации по теме «Математическое и программное обеспечение вычислительных машин, комплексов и компьютерных сетей», Сорокин, Дмитрий Анатольевич

3.5 Выводы

1) Выполнен анализ вычислительной структуры задачи докинга. Определены аппаратные затраты на реализацию вычислительной структуры исходного базового подграфа задачи докинга, состоящего из подзадач Р\, Р2, Рз, Р4 и Р5.

2) С помощью разработанной методики синтеза параллельно-конвейерных программ на основе многокритериальной редукции для подзадач Рь Р4 и Р5 была выполнена редукция производительности вычислительной структуры по числу базовых подграфов и по скважности, а для подзадач Р2 и Р3 - по числу базовых подграфов, по скважности и по числу операций.

3) Применение разработанной методики синтеза параллельно-конвейерных программ на основе многокритериальной редукции позволило выполнить преобразование вычислительной структуры задачи докинга, которое привело к такому сокращению требуемых аппаратных затрат, что впервые стало возможным реализовать на РВС задачу докинга в едином вычислительном контуре.

4) На основе синтезированной вычислительной структуры и организации информационных потоков данных были разработаны параллельно-конвейерный алгоритм и параллельно-конвейерная программа решения задачи молекулярного докинга на РВС.

5) Были проведены экспериментальные исследования и выполнена оценка эффективности решения задачи докинга на реконфигурируемой вычислительной системе по сравнению с вычислительными системами традиционной архитектуры. Показано, что число торсионных связей в лиганде для реализации докинга на РВС, в отличие от персонального компьютера, не оказывает существенного влияния на время решения задачи. Определяющими параметрами времени докинга на РВС являются число атомов лиганда, число типов энергетических взаимодействий атомов и порядок их следования в лиганде.

6) Показано, что для параллельно-конвейерной программы докинга молекулярных соединений с увеличением числа атомов в лиганде РВС обеспечивает близкое к линейному ускорение докинга, что не могут обеспечить как современные персональные компьютеры, так и кластерные МВС. Это доказывает перспективность применения РВС для решения задач с существенно переменной интенсивностью потоков данных.

ЗАКЛЮЧЕНИЕ

Основной научный результат диссертации заключается в решении актуальной научной задачи: создании методов синтеза параллельно-конвейерных программ для решения задач с существенно переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах в условиях ограниченного аппаратного ресурса при заданном уровне реальной производительности.

При проведении исследований и разработок по теме настоящей работы получены следующие теоретические и прикладные результаты, обладающие научной новизной:

- разработаны правила синтеза параллельно-конвейерных программ на основе редукции производительности вычислительной структуры информационного графа задачи, которые, в отличие от известных, обеспечивают принципиальную возможность решения на РВС задач с существенно переменной интенсивностью потоков данных;

- формализован метод синтеза параллельно-конвейерных программ на основе редукции производительности вычислительной структуры по числу операций базового подграфа, который позволяет снизить аппаратные затраты на реализацию вычислительной структуры подзадачи за счет сокращения числа одновременно выполняемых одинаковых операций;

- формализован метод синтеза параллельно-конвейерных программ на основе редукции производительности вычислительной структуры ' по разрядности обрабатываемых операндов, который позволяет снизить аппаратные затраты на реализацию операций базового подграфа;

- разработан метод синтеза параллельно-конвейерных программ на основе редукции производительности по тактовой частоте и скважности, обеспечивающий сбалансированную по производительности реализацию подзадач в едином вычислительном контуре;

- разработана методика синтеза параллельно-конвейерных программ на основе многокритериальной редукции производительности вычислительной структуры информационного графа задачи с существенно-переменной интенсивностью потоков данных;

- разработан параллельно-конвейерный алгоритм решения задачи молекулярного докинга на РВС со структурной организацией вычислений;

- разработана параллельно-конвейерная программа молекулярного докинга в составе средств суперкомпьютерного молекулярного моделирования на РВС.

Основные научные результаты диссертационной работы опубликованы в работах [60, 66-69, 77-78].

Предложенные в диссертации новые результаты строго аргументированы и оценены по сравнению с известными работами в рассматриваемой области. Полученные научные результаты используются практически на различных предприятиях и в организациях России, что подтверждается соответствующими актами о внедрении.

Все научные результаты, полученные при решении научной задачи создании методов синтеза параллельно-конвейерных программ для решения задач с существенно переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах в условиях ограниченного аппаратного ресурса при заданном уровне реальной производительности, получены автором лично.

Таким образом, в диссертации решена новая научная задача, которая является актуальной и имеет существенное научно-практическое значение. Внедрение полученных в диссертации результатов вносит значительный вклад в развитие системного программного обеспечения высокопроизводительных вычислительных систем.

Результаты диссертации внедрены в НИВЦ МГУ (г. Москва), НИИ МВС ЮФУ (г.Таганрог), в.ч. 26165 (г. Москва), НИЦ ФГУП «18 ЦНИИ» МО РФ (г. Курск).

Список литературы диссертационного исследования кандидат технических наук Сорокин, Дмитрий Анатольевич, 2012 год

1. Гергель, В.П. Технологии построения и использования кластерных систем / Интернет университет информационных технологий intuit.ru -электронный ресурс. http://www.intuit.ru/department/supercomputing/tbucs/

2. Слуцкин, А.И. Направления развития отечественных высокопроизводительных систем. Текст. / А.И. Слуцкин, Л.К. Эйсымонт // «Открытые системы». М., 2004. - № 5.

3. Воеводин, Вл.В. «Вычислительное дело и кластерные системы» Текст.: монография / Вл.В. Воеводин, С.А. Жуматий. М.: Изд-во МГУ, 2007. -150 с.

4. Каляев, A.B. Модульно-наращиваемые многопроцессорные системы со структурно-процедурной организацией вычислений Текст.: монография /

5. A.B. Каляев, И.И. Левин; под ред. A.B. Каляева. М.: Янус-К, 2003. - 380 с.

6. Каляев И.А., Левин И.И., Семерников Е.А., Шмойлов В.И. Реконфигурируемьте мультиконвейерные вычислительные структуры. Ростов н/Д: Изд-во ЮНЦ РАН, 2008. - 320 с.6. http://ru.wikipedia.org/wiki/FPGA

7. Кластеры на многоядерных процессорах Текст. / И.И. Ладыгин, A.B. Логинов, A.B. Филатов, С.Г. Яньков. М.: Издательский дом МЭИ, 2008. -112 с.

8. Евреинов Э.В. Однородные вычислительные системы, структуры и среды. Москва: Радио и связь, 1981 - 208 с.

9. Бурцев B.C. Выбор новой системы организации выполнениявысокопараллельных вычислительных процессов, примеры возможных архитектурных решений построения суперЭВМ / Труды академика

10. B.C. Бурцева "Параллелизм вычислительных процессов и развитие архитектуры суперЭВМ" // ИВВС РАН, М„ 1997.

11. En Route to Petaflop Computing Speed: Introducing the Cray XI Supercomputer. Cray Inc., 2002.

12. R. Partridge. Cray Launches XI for Extreme Supercomputing. D.H. Brown Associates.

13. Корнеев В. Эволюция микропроцессорных архитектур / Открытые системы , № 04, 2000/13. http://www.cray.com/

14. Суперкомпьютеры для графовых задач Текст. / А.Семенов, А.Фролов, А. Никитин, В. Кабыкин // Открытые системы.СУБД. Выпуск №07/2011.15. http://www.clearspeed.com/

15. ClearSpeed Advance е620 Accelerator. ClearSpeed Technology, Product Brief, 2007.17. http://www.3dnews.ru/cpu/cell18.http://www.ixbt.com/news/hard/index.shtml?l 1/24/47

16. Архитектура фон Неймана, реконфигурируемые компьютерные системы и антимашина Текст. / Л.Черняк // Открытые системы.СУБД. -Выпуск №06/2008.20. http://ru.wikipedia.org/wiki/WEIZAC

17. Estrin G. Organization of computer system: the fixed plus variable structure computer // Proc. Western Joint Computer Conf. 1960. - N5. - P. 33-40.22. http://ru.wikipedia.org/wiki/DEC23. http://ru.wikipedia.org/wiki/DEC PDP

18. Евреинов Э.В., Хорошевский В.Г. Однородные вычислительные системы. Новосибирск: Наука, 1978 - 320 с.

19. Евреинов Э.В., Косарев Ю.Г. Однородные универсальные вычислительные системы высокой производительности. Новосибирск: Наука, 1966-308 с.26. http://ru.wikipedia.org/wiki/MHHCK-222

20. Каляев А.В. Многопроцессорные системы с распределенной памятью, универсальной коммутацией и программируемой структурой микропроцессоров. Электронное моделирование. - Киев, 1979, № 1. - с.31 -41.

21. Каляев, A.B. Перенастраиваемые цифровые структуры на основе интегрирующих процессоров Текст.: монография / А.Г. Алексеенко, A.B. Каляев, В.И. Лукиенко и др. Под ред. A.B. Каляева. М.: Радио и связь, 1982.-368 с.

22. Энциклопедия ТРТУ. Электронный ресурс http://pitis.tsure.ru/pdf/inst.pdf30. http:// www.altera.com31. http:// www.xilinx.com

23. Пряхин В.Н. Обзор отечественных суперкомпьютеров последнего десятилетия. М.: «Мир ПК», № 09, 2010

24. Левин, И.И. Структурно-процедурное программирование. Тезисы докладов Международой научной конференции "Искусственный интеллект2000", п. Кацивели, 2000. С. 148-150.

25. Воеводин, В.В. Параллельное программирование Текст.: монография / В.В. Воеводин, Вл.В. Воеводин. С-Пб. Изд-во «БХВ-Петербург», 2002.

26. Зотов В.Ю. Программирование встраиваемых микропроцессорных систем на основе плис фирмы Xilinx. М.:Горячая линия - 2006. - 520 е., ил.51. http://ru.wikipedia.org/wiki/Message Passing Interface52. http://www.mitrionics.com/

27. Mitrion Users' Guide 2.0.3-001

28. Каляев A.B. Многопроцессорные вычислительные системы с программируемой архитектурой. М.: Радио и Связь, 1984. 240 с.

29. Романов А.Н., Кондакова О.А., Григорьев Ф.В. и др. Компьютерный дизайн лекарственных средств: программа докинга SOL // Вычислительные методы и программирование, 2008. Т. 9. - С. 213-233.

30. Meng ЕС, Shoichet ВК, Kuntz ID (2004). «Automated docking with grid-based energy evaluation». Journal of Computational Chemistry 13 (4): 505-524.

31. Morris GM, Goodsell DS, Halliday RS, Huey R, Hart WE, Belew RK, Olson AJ (1998). «Automated docking using a Lamarckian genetic algorithm and an empirical binding free energy function». Journal of Computational Chemistry 19 (14): 1639-1662.

32. Большая советская энциклопедия: В 30 т. М.: "Советская энциклопедия", 1969-1978

33. Системы параллельной обработки: Пер. с англ./Под ред. Д. Ивенса. -М.: Мир, 1985.-416 е., ил.

34. Mago, G. A. 'A network of microprocessors to execute reduction languages' Int. Journ. Of Computer and information Sciences, 8,5 and 8,6 (1979).

35. Параллельные вычислительные системы. Головкин Б.А. М.: Наука. Главная редация физико-математической литературы, 1980, 520 е., ил.

36. Коуги П.М. Архитектура конвейерных ЭВМ. Перевод с английского Peter M. Kogge IBM Federal Systems Division M. Радио и Связь 1985г. 358с.

37. Сорокин, Д.А. Решение задач с существенно-переменной интенсивностью потоков данных на реконфигурируемых вычислительных системах Текст. /

38. Д.А. Сорокин, А.И. Дордопуло, И.И. Левин, А.К. Мельников // Вестник компьютерных и информационных технологий. М.: Машиностроение, 2012. - №2. -С.49-56.

39. Сорокин, Д.А. Реализация докинга для молекулярного моделирования на реконфигурируемых вычислительных системах Текст. / Д.А. Сорокин, А.И. Дордопуло, И.И. Левин // Известия ЮФУ. Технические науки. Таганрог: Изд-во ТТИ ЮФУ, 2011. - №7. - С. 217-224,

40. Van Court Т. FPGA acceleration of rigid molecule interactions / T. Van Court, Y. Gu, M. Her-bordt // Int. Conf. Meld Programmable Logic and Applications (FPL 2004). -Antwerpen, Belgium, 2004. P. 862-867.

41. Van Court Т., Gu Y., Mundada M.C., Herdbordt M.C. Rigid molecular4 docking: FPGA recinfiguration for alternative force laws //J Appl. Signal Processing v. 2006, 2006. -P.l-10.

42. Herdbordt M.C., Gu Y., Van Court Т., Model J., Sukhwani В., Chiu M. Computing models for FPGA-based accelerations with case studies in molecular modeling // Porcced. of the Reconfigurable systems summer institute (RSSI 2008), 2008.

43. Sukhwani B. Acceleration of a production rigid molecule docking code / B. Sukhwani, M. Herbordt // Int. Conf. Field Programmable Logic and Applications (FPL 2008). Heidelberg, Germany, 2008. - P. 341-346.

44. Sukhwani В., Herdbordt M.C. FPGA accelaration of rigid-molecule docking codes // IET Computers & digital techniques (ACM-TRETS), 2009 (accepted for publication).82. http://en.wikipedia.org/wiki/Bitonicsorter

45. Левин И.И., Пономарев И.М. Структурная реализация сортировки массивов на основе сети Батчера // Искусственный интеллект, №3, 2004 г., с. 198-202.

46. Антонов A.C. Параллельное программирование с использованием технологии MPI: Учебное пособие. М.: Изд-во МГУ, 2004. - 71 с.

47. Воеводин В.В. Математические основы параллельных вычислений.- М.: МГУ, 1991.-345 с.

48. Гук М. Ю. Аппаратные средства IBM PC. Энциклопедия. — Питер, 2006. — 1072 с.

49. Касперски К. Техника оптимизации программ. Эффективное использование памяти. — СПб.: БХВ-Петербург, 2003. — 464 с.

50. РЕДУКЦИЯ ВЫЧИСЛИТЕЛЬНЫХ СТРУКТУР ПРОЦЕДУР ПОДЗАДАЧИ Р1 БАЗОВОГО ПОДГРАФА ЗАДАЧИ ДОКИНГА

51. СОРОКИН ДМИТРИЙ АНАТОЛЬЕВИЧ

52. МЕТОДЫ РЕШЕНИЯ ЗАДАЧ С ПЕРЕМЕННОЙ ИНТЕНСИВНОСТЬЮ ПОТОКОВ ДАННЫХ НА РЕКОНФИГУРИРУЕМЫХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМАХ0513.11 Математическое и программное обеспечение вычислительных машин,комплексов и компьютерных сетей

53. Диссертация на соискание ученой степени кандидата технических наук Научный руководитель:доктор технических наук, профессор Левин И.И.

54. ПЛ. РЕДУКЦИЯ ВЫЧИСЛИТЕЛЬНЫХ СТРУКТУР ПРОЦЕДУР ПОДЗАДАЧИ Р} БАЗОВОГО ПОДГРАФА ЗАДАЧИ ДОКИНГА

55. Анализ вычислительной структуры подзадачи Мша показал, что выполнять дальнейшую редукцию можно только по скважности Бма- Новое значение коэффициента редукции составит г/=га//Ма=30,5. При увеличении скважности Бма в раз получим Жма '-2,098-109 бит/сек.

56. Получим общий коэффициент редукции вычислительной структуры Мша гм"=1¥ма/ 1Ума"=610,1, что удовлетворяет заданным требованиям.

57. Производительность конвейерной вычислительной структуры подзадачи

58. Анализ вычислительной структуры подзадачи Мшс1 показал, что выполнять дальнейшую редукцию можно только по скважности Новоезначение коэффициента редукции составит г/=га//мсГ203,33. При увеличении скважности Sm<i в г/ раз, получим Жш-7,1 • 108 бит/сек.

59. Получим общий коэффициент редукции вычислительной структуры Mutd rMf- WMJWMd=& 10, что удовлетворяет заданным требованиям.

60. Производительность конвейерной вычислительной структуры подзадачи

61. Получим общий коэффициент редукции вычислительной структуры Mutq rMq"=WMq/WMq"=610, что удовлетворяет заданным требованиям.

62. РЕДУКЦИЯ ВЫЧИСЛИТЕЛЬНОЙ СТРУКТУРЫ ПРОЦЕДУР ПОДЗАДАЧИ Р2 БАЗОВОГО ПОДГРАФА ЗАДАЧИ ДОКИНГА

63. СОРОКИН ДМИТРИЙ АНАТОЛЬЕВИЧ

64. МЕТОДЫ РЕШЕНИЯ ЗАДАЧ С ПЕРЕМЕННОЙ ИНТЕНСИВНОСТЬЮ ПОТОКОВ ДАННЫХ НА РЕКОНФИГУРИРУЕМЫХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМАХ0513.11 Математическое и программное обеспечение вычислительных машин,комплексов и компьютерных сетей

65. Диссертация на соискание ученой степени кандидата технических наук Научный руководитель:доктор технических наук, профессор Левин И.И.

66. П.2. РЕДУКЦИЯ ВЫЧИСЛИТЕЛЬНОЙ СТРУКТУРЫ ПРОЦЕДУР ПОДЗАДАЧИ Р2 БАЗОВОГО ПОДГРАФА ЗАДАЧИ ДОКИНГА

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.