Особенности физического распыления перспективных нанопористых материалов ионами инертных газов низкой энергии тема диссертации и автореферата по ВАК РФ 01.04.15, кандидат наук Сычева Анастасия Александровна

  • Сычева Анастасия Александровна
  • кандидат науккандидат наук
  • 2020, ФГБОУ ВО «Московский государственный университет имени М.В. Ломоносова»
  • Специальность ВАК РФ01.04.15
  • Количество страниц 148
Сычева Анастасия Александровна. Особенности физического распыления перспективных нанопористых материалов ионами инертных газов низкой энергии: дис. кандидат наук: 01.04.15 - Молекулярная физика. ФГБОУ ВО «Московский государственный университет имени М.В. Ломоносова». 2020. 148 с.

Оглавление диссертации кандидат наук Сычева Анастасия Александровна

СОДЕРЖАНИЕ

Введение

1. Роль ионов низкой энергии при плазменной обработке нанопористых диэлектриков

1.1. Плазменная обработка 1ом>-к диэлектриков

1.1.1. Строение 1ом>-к пленок

1.1.2. Плазменные реакторы

1.1.3. Методы исследования 1ом>-к диэлектриков

1.1.4. Механизмы травления 1ом>-к диэлектриков

1.1.5. Деградация 1ом>-к пленок под действием плазмы

1.2. Воздействие ионов на нанопористые материалы

1.2.1. Особенности взаимодействия ионов со сплошными мишенями

1.2.2. Компьютерное моделирование взаимодействия ионов с поверхностью

1.2.3. Моделирование физического распыления методом молекулярной динамики

1.2.4. Особенности взаимодействия ионов с наноструктурированными материалами

1.2.5. Экспериментальные исследования воздействия ионов на 1ом>-к диэлектрики

2. Методика моделирования

2.1. Постановка задачи

2.2. Метод молекулярной динамики

2.2.1. Интегрирование уравнений движения

2.2.2. Описание межатомного взаимодействия

2.2.3. Начальные и граничные условия

2.2.4. Методы учета энергообмена с внешней средой

2.3. Разработка процедуры моделирования

2.3.1. Выбор потенциала взаимодействия

2.3.2. Построение моделей

2.3.3. Обоснование выбранной процедуры моделирования

2.3.4. Реализация алгоритма моделирования

2.3.5. Создание методики анализа результатов 59 Выводы к разделу

3. Влияние выбора потенциала на результаты моделирования физического распыления

3.1. Постановка задачи

3.2. Влияние выбора потенциала взаимодействия

3.2.1. Физическое распыление

3.2.2. Модификация приповерхностных слоев

3.3. Влияние массы налетающих ионов инертных газов

3.3.1. Физическое распыление

3.3.2. Модификация приповерхностных слоев

3.4. Воздействие ионов инертных газов на диоксид кремния 85 Выводы к разделу

4. Влияние размера пор и пористости на процесс физического распыления

4.1. Постановка задачи

4.2. Особенности физического распыления нанопористых материалов

4.2.1. Увеличение интенсивности распыления

4.2.2. Роль кривизны поверхности

4.3. Модификация приповерхностных слоев нанопористых материалов

4.4. Влияние параметров нанопористого материала на изменения в его структуре 103 Выводы к разделу

5. Воздействие легких и тяжелых ионов инертных газов низких энергий на нанопористые материалы

5.1. Постановка задачи

5.2. Воздействие ионов различной массы на нанопористые материалы на основе кремния

5.2.1. Физическое распыление и модификация поверхности

5.2.2. Особенности воздействия легких и тяжелых ионов

5.2.3. Формирование сплошного слоя на поверхности

5.3. Воздействие ионов различной массы на нанопористые структуры на основе диоксида

кремния

5.3.1. Облучение нанопористых SiO2 структур ионами инертных газов

5.3.2. Сопоставление результатов моделирования с экспериментальными данными 131 Выводы к разделу

Заключение

Благодарности

Список используемых сокращений

Список работ по теме диссертации

Список литературы

ВВЕДЕНИЕ

Рекомендованный список диссертаций по специальности «Молекулярная физика», 01.04.15 шифр ВАК

Введение диссертации (часть автореферата) на тему «Особенности физического распыления перспективных нанопористых материалов ионами инертных газов низкой энергии»

Актуальность темы и степень ее разработанности

В настоящее время совершенствование технологии производства сверхбольших интегральных схем (СБИС) и уменьшение размеров элементов, входящих в их состав, являются одной из наиболее актуальных проблем современной микро- и наноэлектроники. Использование материалов, обладающих ультранизкой диэлектрической проницаемостью к (low-k диэлектрики), для изоляции проводящих элементов в межслойных соединениях СБИС позволяет увеличить их быстродействие, снизить диссипацию энергии. Наиболее перспективным является использование тонких (до 200-300 нм) нанопористых пленок на основе ЗЮ^-матриц, диэлектрическая проницаемость которых в существенной степени определяется пористостью и радиусом пор. Однако плазменная обработка таких материалов на многих этапах производства СБИС может приводить к заметному росту их диэлектрической проницаемости, поскольку свободные радикалы могут проникать вглубь low-k диэлектриков через соединенные друг с другом поры, инициировать различные химические реакции внутри материала и тем самым вызывать его деградацию [1].

Для осуществления анизотропного травления нанопористых low-k пленок с атомарной точностью требуется применение ионов, ускоряемых за счет разности потенциалов между электродами до энергии в нескольких десятков и сотен электронвольт. Подобное воздействие ионов способно вызывать как физическое распыление материала, так и изменение его структуры, что может оказывать влияние на свойства образцов. Поэтому важной задачей в рамках исследований воздействия плазмы на low-k диэлектрики является детальное изучение механизмов процессов, происходящих в таких материалах при облучении ионами низкой энергии, т.е. энергии, не превышающей величины порядка сотни электронвольт.

К настоящему времени накоплен большой объем экспериментальных данных о физическом распылении различных материалов при энергиях ионов свыше 1 кэВ, которые хорошо согласуются с теорией Зигмунда на основе модели линейного каскада [2]. Однако для энергий вблизи пороговой энергии распыления существующие экспериментальные данные весьма разрознены и в некоторых случаях противоречивы [3-5], а теоретическое описание подобных процессов также затруднено, поскольку приближения, используемые в модели линейного каскада, не выполняются при низких энергиях ионов [6, 7].

Преодолеть указанные выше трудности можно с помощью компьютерного моделирования методом молекулярной динамики (МД), который рассматривается в настоящее время как весьма перспективный и надежный инструмент для изучения физического распыления различных материалов. Этот подход крайне важен для детального исследования динамики таких процессов, как появление на поверхности облучаемой мишени модифицированного слоя, накопление налетающих частиц и образование кластеров. Процессы, происходящие в нанопористых материалах под действием ионов, обладают рядом особенностей [8], но и они могут быть корректно описаны с помощью метода МД. Однако его непосредственное применение для моделирования таких процессов затруднено из-за необходимости создания весьма больших моделей, отражающих наноразмерную структуру материалов, и, следовательно, высокой ресурсоемкости подобных расчетов. В то же время исследование влияния пористости и размера пор на интенсивность распыления материала и на структурные изменения, происходящие в нем при ионном облучении, может выявить возможные механизмы изменения химических и физических свойств low-k пленок и способствовать оптимизации технологического процесса изготовления СБИС, что подтверждает актуальность темы диссертационной работы.

Цель и задачи исследования

Цель диссертационной работы - исследование особенностей физического распыления нанопористых материалов ионами инертных газов низких энергий и структурных изменений, происходящих в таких материалах под действием ионов. Для достижения данной цели в работе были решены следующие задачи:

- выполнен анализ возможностей применения МД метода для моделирования физического распыления нанопористых материалов ионами низкой энергии, выбрана методика и разработан алгоритм такого моделирования;

- изучено влияние потенциалов, описывающих взаимодействие налетающих ионов с атомами в материале, на результаты моделирования;

- исследованы особенности физического распыления нанопористых материалов ионами низких энергий;

- изучено влияние параметров нанопористого материала на интенсивность физического распыления и характер возникающих в нем структурных изменений на примере кремния и диоксида кремния;

- выполнен анализ влияния массы и энергии налетающих ионов инертных газов на физическое распыление нанопористых материалов и интенсивность изменений, происходящих в их структуре в результате такого воздействия.

Объект и предмет исследования

Объектом изучения в настоящей работе является результат воздействия ионов низкой энергии на поверхность материалов. В качестве предмета исследования рассматривались процессы физического распыления материалов на основе кремния и диоксида кремния и структурные изменения, происходящие в таких материалах под действием налетающих ионов.

Научная новизна

1. Показано, что выбор потенциала взаимодействия ионов друг с другом и атомами мишени при низкой энергии налетающих ионов важен для осуществления корректного моделирования процессов накопления и кластеризации в приповерхностных слоях материала мишени.

2. Продемонстрировано влияние кривизны поверхности нанопористого материала на увеличение интенсивности его распыления ионами низкой энергии по сравнению со сплошным материалом.

3. Обнаружено, что в приповерхностных слоях нанопористых материалов может происходить схлопывание пор с образованием сплошного слоя.

4. Впервые дано объяснение экспериментально наблюдаемого эффекта запечатывания пор в low-k диэлектриках под воздействием ионов Не низкой энергии.

5. Впервые показано влияние массы и энергии ионов инертных газов на интенсивность и скорость процесса схлопывания пор.

6. На основании результатов моделирования продемонстрированы различия в степени и глубине повреждений low-k пленок с различными значениями пористости и радиуса пор при облучении ионами низкой энергии.

Теоретическая и практическая значимость

Механизмы процессов, протекающих в нанопористых и сплошных материалах при воздействии ионов низкой энергии, могут различаться. В данном исследовании изучение указанных механизмов проводилось методом МД для специально разработанных моделей нанопористых материалов. Особенности использованной методики моделирования, включая большой размер созданных моделей, увеличенную продолжительность цикла, соответствующего одному удару иона, учет эффектов накопления повреждений в мишени, позволили детально исследовать структурные изменения в таких материалах, не делая дополнительных предположений и не

обладая a priori данными о том, какие именно изменения могут осуществляться. Выполненные в работе исследования вносят важный вклад в существующие в настоящее время фундаментальные представления о физических явлениях, возникающих в наноструктурированных материалах при облучении ионами низкой энергии.

Помимо отмеченной выше теоретической значимости, диссертационная работа обладает ярко выраженной практической направленностью. Разработка методов бездефектного воздействия плазмы на low-k диэлектрики вызывает большой интерес в крупных технологических компаниях и центрах микроэлектроники. Результаты, полученные при исследовании воздействия ионов низких энергий на нанопористые материалы, могут быть использованы для решения данной задачи, что позволит оптимизировать технологический процесс производства СБИС. В качестве наиболее значимых с практической точки зрения результатов можно указать следующие. Обнаруженный эффект образования сплошного приповерхностного слоя в нанопористых материалах под воздействием ионов низких энергий может использоваться для снижения повреждения таких материалов химически активными радикалами при последующей плазменной обработке. Результаты проведенного моделирования показали, что материал с высокой пористостью (44 %) и наибольшим радиусом пор (2,8 нм) демонстрирует большую устойчивость к воздействию ионов инертных газов низких энергий. По этой причине образование на его поверхности сплошного слоя, который предотвращает проникновение активных радикалов плазмы вглубь пористой структуры, маловероятно, что делает его использование при производстве СБИС менее предпочтительным.

Методология диссертационного исследования

Основные результаты, представленные в диссертационной работе, получены с использованием метода классической МД. Вычисления производились с помощью программного пакета LAMMPS (Large-scale

Atomic/Molecular Massively Parallel Simulator, lammps.sandia.gov). В целях ускорения вычислительного процесса расчеты выполнялись с помощью архитектуры параллельного программирования CUDA с применением ресурсов суперкомпьютерного комплекса МГУ имени М.В. Ломоносова. Для решения задач настоящей работы разработанная методика моделирования включала ряд особенностей: использовались специально разработанные модели нанопористых структур большого размера, увеличена продолжительность цикла, соответствующего одному удару иона, моделирование производилось с накоплением повреждений, т.е. при последовательном воздействии ионов, и т.п.

Детальный анализ результатов моделирования и визуализация моделей материалов производились с помощью пакета OVITO (Open Visualization Tool, ovito.org). Для анализа результатов использовались дополнительные алгоритмы, разработанные автором на языке программирования Python для пакета OVITO.

Положения, выносимые на защиту

1. При моделировании воздействия ионов инертных газов низких энергий выбор потенциалов взаимодействия ионов друг с другом и с атомами материала существенно влияет на коэффициент распыления материала, глубину проникновения ионов, поверхностную концентрацию имплантированных атомов, число и размер образующихся кластеров. Использование потенциала Зиглера-Бирсака-Литтмарка не позволяет с достаточной степенью достоверности описывать процессы физического распыления и модификации структуры мишени ионами низких энергий. Для моделирования накопления и кластеризации использование потенциала Мольера является более предпочтительным.

2. Физическое распыление нанопористого материала ионами низких энергий при нормальном падении происходит более интенсивно по сравнению со

сплошной мишенью, что обусловлено локальной кривизной поверхности материала.

3. В результате длительного облучения нанопористых материалов ионами низких энергий на поверхности этих материалов может образовываться сплошной приповерхностный слой, появление которого препятствует проникновению активных радикалов вглубь материала.

4. Вероятность образования на поверхности нанопористого материала сплошного слоя зависит от параметров материала (пористость, радиус пор), длительности облучения, а также типа и энергии налетающих ионов. Формирование данного слоя объясняет экспериментально наблюдаемый эффект запечатывания пор в low-k диэлектриках.

Степень достоверности результатов

Достоверность результатов, полученных в настоящей работе, определяется использованием МД метода, который широко применяется для исследования воздействия ионов на различные материалы. Предложенная процедура моделирования была разработана на основе обсуждающихся в литературе подходов и корректно обоснована. Используемый для расчетов программный комплекс LAMMPS хорошо апробирован и активно применяется для моделирования методом МД. Анализ и визуализация полученных результатов выполнены с помощью пакета OVITO, созданного специально для подобных задач. Полученные в работе данные согласуются с опубликованными расчетными и экспериментальными результатами по тематике диссертации в тех случаях, когда такие данные имеются. Результаты проведённой работы были доложены на ряде всероссийских и международных конференций по физике взаимодействия плазмы с поверхностью.

Апробация результатов

Основные результаты исследований были представлены на различных международных конференциях, включая International Conference on Photonic, Electronic and Atomic Collisions ICPEAC (Толедо, Испания, 2015), International

10

Conference on Many Particle Spectroscopy of Atoms, Molecules, Clusters and Surfaces, MPS (Москва, Россия, 2016), MOLEC (Динар, Франция, 2018), International Conference Ion-Surface Interactions, ISI (Москва, Россия, 2017, 2019), Plasma Etch and Strip for Microtechnology, PESM (Гренобль, Франция, 2019), Международная Тулиновская конференция «Физика взаимодействия заряженных частиц с кристаллами» (Москва, Россия, 2016, 2017, 2018, 2019), а также ряде других.

Публикации

Основные результаты диссертационной работы опубликованы в 13 статьях в рецензируемых журналах, которые индексируются Web of Science и Scopus.

Личный вклад автора

Построение моделей нанопористых материалов, разработка алгоритма моделирования процесса физического распыления МД методом и его реализация для программного комплекса LAMMPS, программы для обработки результатов, а также расчеты потенциалов, МД моделирование на суперкомпьютере МГУ «Ломоносов» и анализ полученных данных были выполнены автором лично. Подготовка публикаций и докладов выполнена при активном участии автора.

Объем и структура диссертации

Диссертационная работа состоит из Введения, 5 разделов и Заключения, изложена на 148 страницах и содержит 54 рисунка, 13 таблиц и 105 библиографических ссылок.

Краткое содержание работы

Во Введении дано обоснование актуальности темы диссертационной работы, сформулированы ее основные задачи, показаны научная новизна и практическая значимость результатов, представлена структура диссертации.

В Разделе 1 описаны основные методы плазменной обработки нанопористых диэлектриков при производстве СБИС, особенности

строения и способов производства данных материалов. Рассмотрены основные эффекты, возникающие при воздействии на low-k пленки ионов и свободных радикалов плазмы. Обсуждается неоднозначность интерпретации явлений, происходящих под действием ионов низких энергий на изменение свойств нанопористого материала при воздействии низкотемпературной плазмы. Представлены основные методы теоретического исследования взаимодействия ионов с поверхностью наноструктурированных материалов. Особое внимание уделено процессу физического распыления.

В Разделе 2 дано краткое описание основных принципов классического метода МД и сделан вывод о возможности его использования в качестве инструмента исследования. Дано подробное обоснование выбранной процедуры моделирования и ее реализация для пакета ЬЛММРБ. Описана методика анализа результатов расчетов с помощью пакета ОУГГО.

В Разделе 3 на примере кремния и диоксида кремния исследованы процессы физического распыления и модификации поверхности материала мишени, возникающие в результате облучения ионами инертных газов. Особое внимание уделено вопросам, связанным с влиянием выбора потенциалов взаимодействия ионов друг с другом и атомами мишени на процессы накопления и кластеризации ионов в приповерхностных слоях материала.

В Разделе 4 представлены результаты МД моделирования физического распыления нанопористых материалов на основе кремния ионами Лг с энергией 200 эВ, а также структурных изменений, происходящих в таких материалах под действием ионов. На основании полученных данных дано объяснение эффекта запечатывания пор, наблюдаемого экспериментально в low-k диэлектриках. Выполнен анализ влияния параметров, характеризующих структуру таких материалов (в первую очередь, радиуса пор и степени пористости) на процесс запечатывания пор.

В Разделе 5 представлены результаты МД моделирования физического распыления структурных аналогов low-k диэлектриков ионами инертных газов низкой энергии. Продемонстрировано влияние массы налетающих ионов на интенсивность физического распыления и модификацию структуры нанопористых материалов. Выполнено сопоставление результатов моделирования воздействия ионов на нанопористые структуры из диоксида кремния с экспериментальными данными.

В Заключении излагаются итоги выполненного исследования, выводы, рекомендации, перспективы дальнейшей разработки темы.

1. РОЛЬ ИОНОВ НИЗКОЙ ЭНЕРГИИ ПРИ ПЛАЗМЕННОЙ ОБРАБОТКЕ НАНОПОРИСТЫХ LOW-K ДИЭЛЕКТРИКОВ

1.1. Плазменная обработка low-k диэлектриков

В настоящее время совершенствование технологии производства СБИС является одной из наиболее актуальных проблем микро- и наноэлектроники. Рост производительности интегральных схем осуществляется за счет увеличения плотности компоновки и уменьшения характерного размера транзисторов, входящих в их состав. Указанная тенденция описывается эмпирическим законом Мура, в соответствии с которым число транзисторов в процессоре удваивается каждые 2 года [9]. Для изготовления СБИС используется планарная технология, которая делает возможным одновременное изготовление большого числа чипов (~109) [10-12]. В соответствии указанной технологией производство чипов происходит послойно и состоит из двух стадий [10]:

1. производство нижнего слоя микросхемы, состоящего из транзисторов;

2. производство верхних слоев микросхем (Back End of Line, BEOL).

При этом стадия BEOL представляет собой нанесение многоуровневых слоев металлизации, которые осуществляют взаимные соединения элементов СБИС. Для изоляции проводящих элементов в межслойных соединениях используется диэлектрик. Изначально при производстве СБИС на данной стадии использовалась технология Al/SiO2. Однако уменьшение характерного размера элементов СБИС привело к возникновению ряда проблем, одной из которых является увеличение времени т ~ RC задержки управляющих сигналов. С одной стороны, время задержки может быть сокращено в результате уменьшения сопротивления материала проводящих слоев, с другой стороны, при снижении емкостной связи между ними путем уменьшения диэлектрической проницаемости k межслойного диэлектрика.

В результате в настоящее время в технологическом процессе вместо

алюминия используется медь, которая обладает большей удельной

проводимостью, а диоксид кремния было предложено заменить на так

14

называемые low-k материалы, обладающие более низкой диэлектрической проницаемостью по сравнению с SiO2 (ksio2=3,9). Однако замена алюминия на медь потребовала значительной перестройки существующих технологий производства, включая этап плазменной обработки материалов. Итогом таких изменений стал переход к дамаскиновому (damascene, от англ. орнамент, узор) технологическому процессу, в рамках которого вначале с помощью плазменного травления осуществляется профилирование диэлектрика, а затем протравленные области заполняются металлом.

1.1.1. Строение low-k пленок

Величина диэлектрической проницаемости k межслойного диэлектрика может быть снижена для счет использования веществ с низкой поляризуемостью, для которых характерно наличие слабополярных связей (CC, C-H, Si-CH3). В качестве таких материалов могут применяться органические полимеры с k ~ 2,8-3. Однако их внедрение в производство существенно затруднено по причине недостаточной механической прочности и слишком высокого по сравнению с другими компонентами СБИС коэффициента термического расширения.

Альтернативным подходом является создание пористого материала, диэлектрическая проницаемость которого может быть снижена благодаря наличию пустот (пор) в его структуре. При этом на величину k оказывает существенное влияние пористость материала P:

k-1 _ (1 P) k-1 (1Л)

kp + 2 k + 2'

где k - диэлектрическая проницаемость материала с пустыми порами.

В настоящее время при производстве СБИС используются тонкие нанопористые пленки на основе органосиликатных стекол SiOCH, в структуре которых присутствуют CH3-группы, обеспечивающие гидрофобность материала (Рисунок 1.1).

Рисунок 1.1. Фрагмент модели SiOCH материала

Существуют два метода создания SiOCH-пленок: плазменно-химическое осаждение из газовой фазы (plasma-enhanced chemical vapor deposition, PECVD) и метод центрифугирования (spin-on glass, SOG) [1]. В первом случае нанесение SiOx матрицы происходит вместе с органическим полимером (порогеном). Далее в результате обработки вещества ультрафиолетовым (УФ) излучением с длиной волны ~ 170 нм осуществляется разрушение порогена и формирование в материале пористой структуры. Точное значение пористости зависит от типа прекурсора и соотношения между количеством вещества матрицы и порогена. При использовании SOG метода прекурсор low-k пленки изначально находится в виде раствора, который помещается в центрифугу. При вращении под действием центробежной силы раствор превращается в двухфазный гель, из которого далее в результате отжига (менее 250 ° С) удаляется жидкая фаза. Важно отметить, что при использовании данного метода размер пор и пористость материала однозначно связаны, что является существенным недостатком указанного подхода.

1.1.2. Плазменные реакторы

Существует три основных типа плазменных реакторов, используемых при плазменной обработке материалов [13]:

1. одночастотные и многочастотные емкостные (single-/multi-frequency capacitively coupled plasma, CCP/MF-CCP);

2. индукционные (inductively coupled plasma, ICP);

3. плазма послесвечения (downstream plasma, DSP).

В CCP реакторах генерация плазмы происходит путем приложения напряжения к электродам, которые либо помещаются в разрядную камеру, либо располагаются снаружи камеры с диэлектрическими стенками [14]. В одночастотных CCP реакторах увеличение подаваемой в систему мощности приводит к увеличению не только плотности плазмы, но и энергии ионов. В результате два данных параметра связаны и не могут быть изменены по отдельности. Этот недостаток может быть устранен путем приложения дополнительной низкой частоты (0,5-15 МГц) к нижнему электроду, на котором находится образец. Данная частота используется для управления энергетическим спектром воздействующих на материал ионов, а высокая частота (~ 20-160 МГц) определяет параметры квазинейтральной плазмы в объеме [15]. CCP реакторы характеризуются низкими значениями плотности плазмы (1011—1012 электронов/см3) и степени диссоциации молекул, что способствует присутствию в плазме тяжелых молекулярных радикалов (например, CxFy радикалов в случае использования фторуглеродной плазмы). Совместное воздействие подобных радикалов и ионов относительно высоких энергий (до сотни эВ) обеспечивает селективность и анизотропию процессов, поэтому данный вид реакторов применяется для травления диэлектриков.

В ICP реакторах управление плотностью плазмы и энергией ионов осуществляется раздельно. При этом осуществляется более эффективная подача энергии в систему по сравнению с CCP реактором, что создает плотную плазму (1011—1012 электронов/см3) с высокой степенью диссоциации. Однако отсутствие молекулярных радикалов делает данный тип реакторов слабо пригодным к травлению диэлектриков. Индукционно-связанная плазма применяется в основном при травлении проводящих слоев (металлов или кремния).

В DSP реакторах в качестве источника плазмы могут использоваться как

индукционный, так и емкостной разряды. Строение камеры существенно

отличается от остальных типов реакторов. Здесь область, в которой

17

располагается образец, отделена от области генерации плазмы специальными сетками, нейтрализующими воздействие ионов и УФ излучения. Так как заряженные частицы не достигают поверхности образца, использование DSP реакторов для проведения анизотропных процессов невозможно. Основное применение данного типа реакторов связано с удалением фоторезиста и чисткой поверхности.

1.1.3. Методы исследования low-k диэлектриков

После плазменной обработки материалов необходимо убедиться в том, что были достигнуты необходимые морфологические характеристики поверхности, а low-k пленки сохранили свои исходные химические и физические свойства. Для оценки различных изменений поверхности после ее обработки могут быть использованы методы сканирующей электронной микроскопии (СЭМ) и просвечивающей электронной микроскопии (ПЭМ). Однако, несмотря на возможность прямого измерения параметров поверхности (например, размеров протравленных дорожек), при применении ПЭМ и СЭМ прохождение потока электронов сквозь исследуемый образец может изменить его свойства. Таким образом, для изучения изменений в наноструктурированных материалах отдается предпочтение другим методам, не приводящим к их повреждению.

В настоящее время для диагностики изменений свойств low-k пленок часто применяется метод инфракрасной (ИК) Фурье-спектроскопии (Fourier transmission infrared spectroscopy, FTIR), который позволяет определить химический состав образца на основе его ИК спектра поглощения [16]. Получение спектра осуществляется в две стадии: сначала регистрируется интерферограмма исследуемого излучения, а затем с помощью Фурье-преобразования вычисляется спектр поглощения исследуемого образца. Вид полученного с помощью метода FTIR спектра определяется вращательными и колебательными модами химических связей в образце. Сравнение ИК спектров пропускания различных low-k пленок до и после воздействия плазмы

Похожие диссертационные работы по специальности «Молекулярная физика», 01.04.15 шифр ВАК

Список литературы диссертационного исследования кандидат наук Сычева Анастасия Александровна, 2020 год

СПИСОК ЛИТЕРАТУРЫ

1. Advanced Interconnects for ULSI technology. Baklanov M.R., Ho P.S., Zschech E. (Eds.).

- Wiley & Sons, 2012. - 596 с.

2. Sigmund P. Theory of sputtering. I. Sputtering yield of amorphous and polycrystalline targets // Phys. Rev. -1969. - Vol. 184. - P. 383-416.

3. Wittmaack K. Analytical description of the sputtering yields of silicon bombarded with normally incident ions // Phys. Rev. B. - 2003. - Vol. 68, no. 23. - P. 235211.

4. Zalm P.C. Energy dependence of the sputtering yield of silicon bombarded with neon, argon, krypton, and xenon ions // J. Appl. Phys. - 1983. - Vol. 54, no. 2. - P. 2660-2666.

5. Lopaev D.V., Rakhimova T.V., Rakhimov A.T. et al. Silicon dioxide and low-k material sputtering in dual frequency inductive discharge by argon ions with energies from 16 to 200 eV // J. Phys. D. Appl. Phys. - 2017. - Vol. 51, no. 2. - P. 02LT02.

6. Hossain M.Z., Freund J.B., Johnson H.T. Ion impact energy distribution and sputtering of Si and Ge // J. Appl. Phys. - 2012. - Vol. 111. - P. 103513.

7. Hobler G., Bradley R.M., Urbassek H.M. Probing the limitations of Sigmund's model of spatially resolved sputtering using Monte Carlo simulations // Phys. Rev. B. - 2016. - Vol. 93. - P. 205443.

8. Bringa E.M., Monk J.D., Caro A. et. al. Are nanoporus materials radiation resistant? // Nano Lett. - 2012. - Vol. 12. - P. 3351-3355.

9. Understanding Moore's law: four decades of innovation. Brock D.C., Moore G.E. (Eds.). -Chemical Heritage Foundation, 2006. - 122 p.

10. Wouters D. Planar Technology // www.imec-academy.be. - 2014. - P. 1-35.

11. Kunnen E. and Ong P. Material removal by dry etch // www.imec-academy.be. - 2013. - P. 1-17.

12. Wouters D. Introduction in semiconductor manufacturing // www.imec-academy.be. - 2014.

- P. 1-40.

13. Baklanov M.R., de Marneffe J.-F., Shamiryan D. et al. Plasma processing of low-k dielectrics // J. Appl. Phys. - 2013. - Vol. 113, no 4. - P. 041101.

14. Райзер Ю.П. Физика газового разряда. М.: Наука, 1987.

15. Rakhimova T.V., Braginsky O.V., Ivanov V.V. et al. Experimental and theoretical study of ion energy distribution function in single and dual frequency RF discharges // IEEE Transactions on Plasma Science. - 2007. - Vol. 35, no. 5. - P. 1229-1240.

16. Купцов А.Х., Жижин Г.Н. Фурье-КР и Фурье-ИК спектры полимеров. - М.: Техносфера, 2013. - 696 с.

17. Liu Ch. et al. Effect of terminal methyl groups concentration on properties of organosilicate glass low dielectric constant films // Jpn. J. Appl. Phys. - 2018. - Vol. 57. - P. 07MC01.

18. Беккер Ю. Спектроскопия. - М.: Техносфера, 2009. - 528 с.

19. M.R. Baklanov, Q.T. Le, E. Kesters et al. Challenges of clean/strip processing for Cu/low-k technology // Proceedings of the IEEE 2004 International Interconnect Technology Conference. - 2004. - P. 187-189.

20. Lee J., Kazi H., Gaddam S., Kelber J.A., Graves D.B. Effects of He and Ar ion kinetic energies in protection of organosilicate glass from O2 plasma damage // J. Vac. Sci. Technol.

A. - 2013. - Vol. 31. - P. 041303.

21. Kunnen E., Baklanov M.R., Franquet A., Shamiryan D., Rakhimova T.V., et al. Effect of energetic ions on plasma damage of porous SiCOH low-k materials // J. Vac. Sci. Technol.

B. - 2010. - Vol. 28, no. 3. - P. 450.

22. Takeda K., Miyawaki Y., Takashima S. et. al. Mechanism of plasma-induced damage to low-k SiOCH films during plasma ashing of organic resists // J. Appl. Phys. - 2011. - Vol. 109, no 3. - P. 033303.

23. Braginsky O.V., Kovalev A.S., Lopaev D.V., Malykhin E.M., Mankelevich Yu.A., Proshina O.V., Rakhimova T.V., Rakhimov A.T., Voloshin D.G., Vasilieva A.N., Zyryanov S.M., Smirnov E.A., Baklanov M.R. The effect of He plasma treatment on properties of organosilicate glass low-k films // J. Appl. Phys. - 2011. - Vol. 109. - P. 043303.

24. Urbanowicz A.M., Baklanov M.R., Heijlen J., et al. Damage reduction and sealing of low-k films by combined He and NH3 plasma treatment // Electrochem. Solid-State Lett. - 2007.

- Vol. 10. - P. G76-G79.

25. Prager L., Marsik P., Wennrich L. et. al. Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths // Microelectronic Engineering. -2008. - Vol. 85, no 10. - P. 2094-2097.

26. Shamiryan D., Baklanov M. R., Vanhaelemeersch S., Maex K. Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma // J. Vac. Sci. Technol. B. - 2002. - Vol. 20, no. 5. - P. 1923.

27. Behrisch R., Eckstein W. Sputtering by particle bombardment: Experiments and computer calculations from threshold to MeV energies. - Springer, 2007. - 526 p. (Topics in Applied Physics).

28. Борисов А.М., Машкова Е.С. Физические основы ионно-лучевых технологий. II. Распыление поверхности твердых тел. - М.: МАКС Пресс, 2013. - 196 с.

29. Kanarik K.J., Tan S., Gottscho R.A. Atomic Layer Etching: Rethinking the Art of Etch // J. Phys. Chem. Lett. - 2018. - Vol. 9, no. 16. - P. 4814-4821.

30. Sigmund P. A mechanism of surface micro-roughening by ion bombardment // J. Mater. Sci.

- 1973. - Vol. 8, no. 11. - P. 1545-1553.

31. Bohdansky J. A universal relation for the sputtering yield of monatomic solids at normal ion incidence // Nuclear Instruments and Methods in Physics Research B. - 1984. - Vol. 2. - P. 587-591.

32. Мартыненко Ю.В., Рогов А.В., Шульга В.И. Угловое распределение атомов при магнетронном распылении поликристаллических мишеней // Журнал технической физики. - 2012. - Т. 82, № 4. - C. 13-18.

33. Bernatskiy A.V., Kochetov I.V., Ochkin V.N. Transformations of neutral particles in the discharge plasma in inert gases with water vapor and deuterium // Physics of Plasmas. -2018. - Vol. 25, no. 8. - P. 083517.

34. Ponomarev A.A., Aleksandrov N.L. Monte Carlo simulation of negative ion kinetics in air plasmas in a time-varying electric field // J. Phys. D. Appl. Phys. - 2020. - Vol. 53. - P. 05520.

35. Palov A.P., Rakhimova T.V., Voronina E.N., Mankelevich Yu.A. Etching low-k films by f atoms: Inside view // Journal of Vacuum Science and Technology A. - 2018. - Vol. 36, no. 2. - P. 02C103.

36. Voronina E.N., Mankelevich Y.A., Rakhimova T.V. et al. Experimental and DFT study of nitrogen atoms interactions with SiOCH low-k films // European Physical Journal D. - 2017.

- Vol. 71. - P. 111.

37. Воронина Е.Н., Новиков Л.С. Математическое моделирование воздействия корпускулярных потоков на наноструктуры. - М.: Университетская книга, 2016. - 244 с.

38. Biersack J.P., Haggmark L. A Monte Carlo computer program for the transport of energetic ions in amorphous targets // Nucl. Instr. and Meth. - 1980. - Vol. 174. - P. 257.

39. Bangert U., Goodhew P.J., Jeynes C., Wilson I.H. Low-energy (2-5 keV) argon damage in silicon // J. Phys. D: Appl. Phys. - 1986. - Vol. 19. - P. 589.

40. Prskabo A.-P., Schmauder S., Ziebert C. et al. Molecular dynamics simulations of the sputtering process of silicon and the homoepitaxial growth of a Si coating on silicon // Comput. Mater. Sci. - 2011. - Vol. 50, no. 4. - P. 1320-1325.

41. Kubota N.A., Economou D.J., Plimpton S.J. Molecular dynamics simulations of low energy (25-200 eV) argon ion interactions with silicon surfaces: sputter yields and product formation pathways // J. Appl. Phys. - 1998. - Vol. 83. - P. 4055-4063.

42. Graves D.B., Brault P. Molecular dynamics for low temperature plasma-surface interaction studies // J. Phys. D: Appl. Phys. - 2009. - Vol. 42, no. 19. - P. 194011.

43. Hossain M.Z., Freund J.B., Johnson H.T. Improved calculation of Si sputter yield via first principles derived interatomic potential // Nucl. Instrum. Meth. B. - 2009. - Vol. 257, no. 7.

- P. 1061.

44. Moore M.C., Kalyanasundaram N., Freund J.B., Johnson H.T. Structural and sputtering effects of medium energy ion bombardment of silicon // Nucl. Instrum. Methods Phys. Res. B. - 2004. - Vol. 225, no. 3. - P. 241-255.

45. Timonova M., Lee B.-J., Thijsse B.J. Sputter erosion of Si (001) using a new silicon MEAM potential and different thermostats // Nucl. Instrum. and Methods B. - 2007. - Vol. 225. -P.195-201.

46. Humbird D., Graves D.B. Ion-induced damage and annealing of silicon. Molecular dynamics simulations // Pure Appl. Chem. - 2002. - Vol. 74, no. 3. - P. 419-422.

47. Faraci G., La Rosa S., Pennisi A.R. et al. Evidence for crystalline overpressurized Ar clusters in Al and Si // Phys. Rev. B. - 1991. - Vol. 43, no. 12. - P. 9962.

48. Greuter M.J.W., Niesen L., Hakvoort R.A. et al. Krypton incorporation in sputtered silicon films // Hyperfine Interact. - 1993. - Vol. 79. - P. 669-674.

49. Faraci G., Pennisi A.R., Terrasi A., Mobilio S. Xe bubbles in Si observed by extended x-ray-absorption fine-structure spectroscopy // Phys. Rev. B. - 1988. - Vol. 38, no. 18. - P. 13468-13471.

50. Martirosyan V., Despiau-Pujo E., Dubois J. et al. Helium plasma modification of Si and Si3N4 thin films for advanced etch processes // J. Vac. Sci. Technol. A. - 2018. -Vol. 36, no 4. - P. 041301.

51. Wittmaack K. In situ observation of gas reemission and blister rupture during helium implantation in silicon // Appl. Phys. Lett. - 2008. - Vol. 92, no. 5. - P. 051907.

52. Шумилов А. С., Амиров И. И. Эволюция профиля Si наноструктур при распылении в аргоновой плазме ВЧИ разряда // Поверхность. Рентг., синхротр. и нейтр. исследования. - 2020. - № 9. - С. 1-9.

53. Nietiadi M.L., Urbassek H.M. Influence of local curvature on sputtering // Appl. Phys. Lett.

- 2013. - Vol. 103. - P. 113108.

54. Nietiadi M.L., Sandoval L., Urbassek H.M., Moller W. Sputtering of Si nanospheres // Phys. Rev. B. - 2014. - Vol. 90. - P. 045417.

55. Boydens F., Leroy W., Persoons R., Depla D. The influence of target surface morphology on the deposition flux during direct-current magnetron sputtering // Thin Solid Films. - 2013.

- Vol. 531. - P. 32-41.

56. Cassidy T., Johnson R. Monte Carlo model of sputtering and other ejection processes within a regolith // Icarus. - 2005. - Vol. 176. - P. 499-507.

57. Uchida S. et al. Plasma damage mechanisms for low-k porous SiOCH films due to radiation, radicals, and ions in the plasma etching process // J. Appl. Phys. - 2008. - Vol. 103. - P. 073303.

58. Shi H., et al. Role of ions, photons, and radicals in inducing plasma damage to ultra low-k dielectrics // J. Vac. Sci. Technol. B. - 2012. - Vol. 30. - P. 011206.

59. Verlet L. Computer "Experiments" on Classical Fluids. I. Thermodynamical Properties of Lennard-Jones Molecules // Phys. Rev. - 1967. - Vol. 159, no. 1. - P. 98-103.

60. Jensen F. Introduction to computational chemistry. -Wiley & Sons, 2007. - 620 p.

61. Atomic and ion collisions in solids and at surfaces: Theory, simulation and applications. Ed. Smith R. - Cambridge University Press, 2005. - 310 p.

62. Stillinger F.H., Weber T.A. Computer simulation of local order in condensed phases of silicon // Phys. Rev. B. - 1985. - Vol. 31. - P. 5262.

63. Daw M.S., Baskes M.I. Embedded-atom method: Derivation and application to impurities, surfaces, and other defects in metals // Phys. Rev. B. - 1984. - Vol. 29, no. 12. - P. 64436453.

64. Foiles S.M., Baskes M.I., Daw M.S. Embedded-atom-method functions for the fcc metals Cu, Ag, Au, Ni, Pd, Pt, and their alloys // Phys. Rev. B. - 1986. - Vol. 33, no. 12. - P. 79837991.

65. Baskes M.I. Modified embedded-atom potentials for cubic materials and impurities // Phys. Rev. - 1992. - Vol. 46, no. 5. - P. 2727-2742.

66. Tadmor E., Miller R. Modeling materials: Continuum, atomistic and multiscale techniques.

- Cambridge University Press, 2011.

67. Tersoff J. Modeling solid-state chemistry: Interatomic potentials for multicomponent systems // Phys. Rev. B. - 1989. - Vol. 39, no. 8. -P. 55665568.

68. Chenoweth K., van Duin A.C.T., Goddard W.A. ReaxFF Reactive Force Field for Molecular Dynamics Simulations of Hydrocarbon Oxidation // J. Phys. Chem. A. - 2008. - Vol. 112, no. 5. - P. 1040-1053.

69. Френкель Д., Смит Б. Принципы компьютерного моделирования молекулярных системы. От алгоритмов к приложениям. - М.: Научный мир, 2013.

70. Payne M.C., Teter M.P., Allan D.C. et. al. Iterative minimization techniques for ab initio total-energy calculations: molecular dynamics and conjugate gradients // Rev. Modern Phys.

- 1992. - Vol. 64, no. 4. - P. 1045-1097.

71. Berendsen H.J.C., Postma J.P.M., van Gunsteren W.F. et. al. Molecular dynamics with coupling to an external bath // J. Chem. Phys. - 1984. - Vol. 81, no. 8. - P. 3684-3690.

72. Hoover W.G. Canonical dynamics: Equilibrium phase-space distributions // Phys. Rev. A. -1985. - Vol. 31, no. 3. - P. 1695-1697.

73. Nosé S. A unified formulation of the constant temperature molecular dynamics methods // J. Chem. Phys. - 1984. - Vol. 81, no. 1. - P. 511-519.

74. Nosé S. A molecular dynamics method for simulations in the canonical ensemble // Molecular Physics. - 1984. - Vol. 52, no. 2. - P. 255-268.

75. Molière G. Theorie der Streuung schneller geladener Teilchen I. Einzelstreuung am abgeschirmten Coulomb-Feld // Z. Naturforsch A. - 1947. - Vol. 2. - P. 133-154.

76. Biersack J.P., Ziegler J.F. Refined universal potentials in atomic collisions // Nucl. Instrum. Methods. - 1982. - Vol. 194. - P. 93-100.

77. Atomic and ion collisions in solids and at surfaces: Theory, simulation and applications. Ed. Smith R. - Cambridge University Press, 2005. - 310 p.

78. Shulga V.I. Comparative study of silicon and germanium sputtering by 1-20 keV Ar ions // Nucl. Instrum. Methods Phys. Res. B. - 2007. - Vol. 254, no. 2. - P. 200-204.

79. Rakhimova T.V., Lopaev D.V., Mankelevich Yu.A. et al. Interaction of F atoms with SiOCH ultra-low-k films: I. Fluorination and damage // J. Phys. D: Appl. Phys. - 2015. - Vol. 48, no. 17. - P. 175203.

80. Palov A.P., Voronina E.N., Rakhimova T.V. et al. Dependence of dielectric constant of SiOCH low-k films on porosity and pore size // J. Vac. Sci. Technol. B. - 2016. - Vol. 33, no. 2. - P. 020603.

81. Nocedal J., Wright S. Numerical Optimization. - Springer, 2006. 664 p.

82. Krasheninnikov A.V., Nordlund K. Ion and electron irradiation-induced effects in nanostructured materials // Journal of Applied Physics. - 2010. - Vol. 107. - P. 071301.

83. Brault P., Chuon S. and Bauchire J.-M. Molecular dynamics simulations of platinum plasma sputtering: a comparative case study // Front. Phys. - 2016. - Vol. 4. - P. 20.

84. Pentecoste L., Brault P., Thomann A.-L. et. al. Low energy and low fluence helium implantations in tungsten: molecular dynamics simulations and experiments // J. Nucl. Mater. - 2016. - Vol. 470. - P. 44-54.

85. Hou Q., Hou M., Bardotti L. et. al. Deposition of Aun clusters on Au (111) surfaces. I. Atomic-scale modeling // Phys. Rev. B. - 2000. - Vol. 62, no. 4. - P. 2825-2834.

86. Hoilijoki S., Holmstrom E., and Nordlund K. Enhancement of irradiation-induced defect production in Si nanowires // J. Appl. Phys. - 2011. - Vol. 110, no. 4. - P. 043540.

87. Plimpton S. Fast parallel algorithms for short-range molecular dynamics // J. Comput. Phys. - 1995. - Vol. 117. - P. 1-19.

88. CUDA C Programming guide. Nvidia Corporation. // www.nvidia.com. - 2017. 280 p.

89. Воеводин Вл.В., Жуматий С.А., Соболев С.И., Антонов А.С., Брызгалов П.А., Никитенко Д.А., Стефанов К.С., Воеводин Вад.В. Практика суперкомпьютера «Ломоносов» // Открытые системы. - 2012. № 7. С. 36-39.

90. Stukovski A. Visualization and analysis of atomistic simulation data with OVITO-the Open Visualization Tool // Model. Simul. Mater. Sci. Eng. - 2010. - Vol. 18. - P. 015012.

91. Stukowski A., Albe K. Extracting dislocations and non-dislocation crystal defects from atomistic simulation data // Modelling Simul. Mater. Sci. Eng. - 2010. - Vol. 18. - P. 085001.

92. Colla T.J., Urbassek H.M. Visualization of keV-ion-induced spikes in metals // Radiat. Eff. Defects Solids - 1997. - Vol. 142, no. 1. - P. 439-447.

93. Oostra D.J., Haring A., van Ingen R.P., de Vries A.E. Mechanisms of sputtering of Si in a Cl2 environment by ions with energies down to 75 eV // J. Appl. Phys. - 1988. - Vol. 64, no. 1. - P. 315-322.

94. Balooch M., Moalem M., Wang W.-E., Hamza A.V. Low-energy Ar ion-induced and chlorine ion etching of silicon // J. Vac. Sci. Technol. A. - 1996. - Vol. 14, no. 1. - P. 229233.

95. Marqués L.A., Rubio J.E., Jaraz M. et al. Dose effects on amorphous silicon sputtering by argon ions: A molecular dynamics simulation // J. Appl. Phys. - 1997. - Vol. 81. - P. 14881494.

96. Lau W.M., Bello I., Huang L.J., Feng X. Argon incorporation in Si(100) by ion bombardment at 15-100 eV // J. Appl. Phys. - 1993. - Vol. 74, no. 12. - P. 7101-7106.

97. van Veen G.N.A., Sanders F.H.M., Dieleman J. et al. Anomalous time-of-flight distributions observed for argon implanted in silicon and resputtered by Ar+-ion bombardment // Phys. Rev. Lett. - 1986. - Vol. 57, no. 6. - P. 739-741.

98. Ogilvie J.F. and Wang F.Y.H. Potential-energy functions of diatomic molecules of the noble gases I. Like nuclear species // J. Mol. Struct. - 1992. - Vol. 273. - P. 277-290.

99. Henshaw D.G., Hurst D.G., and Pope N.K. Structure of Liquid Nitrogen, Oxygen, and Argon by Neutron Diffraction // Phys. Rev. - 1953. - Vol. 92, no. 5. - P. 1229-1234.

100. Henshaw D.G. Atomic Distribution in Liquid and Solid Neon and Solid Argon by Neutron Diffraction // Phys. Rev. - 1958. - Vol. 111, no. 6. - P. 1470-1475.

101. Rubio J.E., Marqués L.A., Jaraíz M., et. al. Molecular dynamics simulation of amorphous silicon sputtering by Ar+ ions // Nucl. Instrum. Meth. B. - 1995. - Vol. 102. - P. 301-304.

102. Nanoscale science and technology. Kelsall R.W., Hamley I.W., Geoghegan M. (Eds.). -Wiley&Sons, 2005. - 457 p.

103. Summ B.D., Ivanova N.I. The use of objects and methods of colloid chemistry in nanochemistry // Russ. Chem. Rev. - 2000. - Vol. 69, no. 11. - P. 911-923.

104. Tolman R.C. The effect of droplet size on surface tension // J. Chem. Phys. - 1949. - Vol. 17, no. 3. - P. 333-337.

105. Rakhimova T.V., Rakhimov A.T., Mankelevich Yu.A., et. al. Modification of organosilicate glasses low-k films under extreme and vacuum ultraviolet radiation // Appl. Phys. Lett. - 2013. - Vol. 102. - P. 111902.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.