Повышение энергетической эффективности усилителей базовых станций сотовых систем с кодовым разделением каналов тема диссертации и автореферата по ВАК РФ 05.12.04, кандидат технических наук Клинков, Андрей Александрович

  • Клинков, Андрей Александрович
  • кандидат технических науккандидат технических наук
  • 2010, Москва
  • Специальность ВАК РФ05.12.04
  • Количество страниц 179
Клинков, Андрей Александрович. Повышение энергетической эффективности усилителей базовых станций сотовых систем с кодовым разделением каналов: дис. кандидат технических наук: 05.12.04 - Радиотехника, в том числе системы и устройства телевидения. Москва. 2010. 179 с.

Оглавление диссертации кандидат технических наук Клинков, Андрей Александрович

Сокращения и обозначения.

Введение.

1. Анализ радиотрактов формирования и усиления групповых СлС современных системах подвижной связи.

1.1 Базовые структурные схемы устройств формирования и усиления групповых СлС.

1.2 Формирование групповых СлС на видеочастоте в системах подвижной связи стандартов 18-95 и СБМА

1.3 Формирование групповых СлС в системах подвижной связи стандарта WCDMA.

1.4 Исследование статистических характеристик огибающих групповых сложных сигналов в СЭМА-системах подвижной связи.

1.5 Усиление группового СлС в радиотракте при использовании режима работы оконечного усилителя мощности класса А.

1.6. Критерии линейности УМ.

1.7. Модели нелинейных элементов радиотракта и основные эффекты при нелинейных искажениях.

1.8. Методы исследования нелинейных преобразований случайных процессов.

1.9. Цель, задачи и структура диссертации.

Выводы.

2. Разработка теоретических методов анализа и исследования характеристик искажений групповых сложных сигналов при их нелинейных преобразованиях

2.1. Математическая модель случайного процесса, образованного аддитивной смесью сложных сигналов.

2.2. Модель нелинейного устройства.

2.3. Исследование характеристик сигнальной составляющей результирующего СлС с выхода нелинейного устройства.

2.4. Исследование характеристик интермодуляционной помехи с выхода нелинейного устройства.

Выводы.

3. Исследование характеристик нелинейных искажений групповых сложных сигналов и их влияния на снижение пропускной способности каналов связи.

3.1. Анализ уровня сигнальной составляющей на выходе нелинейного устройства методом компьютерного моделирования.

3.2. Исследование помеховой составляющей группового СлС с выхода нелинейного устройства.

3.3. Разработка метода и устройства измерения искажений группового СлС при его нелинейном преобразовании.

3.4. Характеристики подавления интермодуляционных помех в устройстве корреляционной обработки сложных сигналов.

3.5 Влияние интермодуляционных помех на погрешность синхронизации полезного СлС по времени и частоте.

3.6. Влияние интермодуляционных помех на снижение пропускной способности канала связи.

Выводы.

4. Разработка принципов построения высоколинейных передатчиков групповых сложных сигналов с высоким КПД.

4.1. Разработка структурной схемы передатчика группового СлС с раздельным усилением составляющих (по методу Кана).

4.1.1. Функции, выполняемые цифровым блоком и требования, предъявляемые к его характеристикам.

4.1.2. Разработка высокочастотного тракта.

4.1.3. Тракт усиления широкополосной огибающей.

4.2. Усиление групповых СлС с высоким КПД совместно с их нелинейными преобразованиями на низком уровне мощности.

4.2.1. Использование «жесткого» ограничителя в прямом канале без регулировки мощности сигналов абонентов.

4.2.3. Использование «жесткого» ограничителя в прямом канале с регулировкой мощности сигналов и их асинхронным сдвигом по времени

Выводы.

Рекомендованный список диссертаций по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Введение диссертации (часть автореферата) на тему «Повышение энергетической эффективности усилителей базовых станций сотовых систем с кодовым разделением каналов»

Одно из основных направлений развития современных систем подвижной связи базируется на исследовании методов многостанционного доступа с кодовым разделением шумоподобных сложных сигналов (СлС) абонентов (в англоязычной литературе CDMA (Code Division Multiple Access)) [1,2,3,15,16,18,58]. Их применение позволяет обеспечить высокоэффективное использование спектра частот, высокую помехоустойчивость устройств обработки сигналов, скрытность и конфиденциальность передачи информации при воздействии всей совокупности структурных, широкополосных и узкополосных помех при наличии замираний в радиоканалах, обусловленных условиями распространения сигналов [4,6,7,17,23,40,56].

Одной из особенностей систем подвижной связи, в том числе и CDMA-систем, являются повышенные требования, предъявляемые к характеристикам радиотрактов формирования и усиления групповых радиосигналов их радиопередающих устройств. Так, в настоящее время выходные усилители базовых станций CDMA-систем подвижной связи имеют низкий КПД порядка 10%, что объясняется требованиями к линейности их характеристик из-за высокого пик-фактора усиливаемого группового СлС, достигающего нескольких десятков дБ [1,3,15-17,29,37,44,58]. Причем в стандартах 3-его поколения этот усилитель поставляет в антенну до 40.80 Вт на одну несущую, которых может быть от одной до тринадцати. В стандартах 4-го поколения с прямым расширением спектра при излучении до нескольких сотен СлС абонентов на общей несущей выходная мощность может составить до нескольких сотен Вт. Следовательно, потребляемая мощность с учетом вышеуказанного значения КПД порядка 10% достигает 0,5кВт и более. Очевидно, что, кроме значительных энергетических затрат, столь высокая потребляемая мощность приводит к повышению температуры внутри корпуса усилителя и уменьшает ресурс его работы, а, следовательно, и безаварийный период работы базовой станции. При этом стоимость такого усилителя может достигать 10 тыс. долларов.

Поэтому в настоящее время широко обсуждается проблема повышения КПД СВЧ-усилителей мощности групповых СлС при использовании квазилинейных режимов усиления путем компенсации возникающих нелинейных искажений с использованием регулировки рабочей точки, а также введения обратных и прямых связей компенсации. В последнее время интенсивно развиваются цифровые методы линеаризации характеристики усилителя, когда он работает с отсечкй тока в нелинейном режиме, а искажения компенсируются численными методами с помощью внесения предварительных искажений в усиливаемый групповой СлС [18,22,29,31,37].

Необходимо отметить, что применение этих методов требует использования высокоточных критериев оценки амплитудных и фазовых искажений групповых СлС в усилителях, позволяющих задавать предельно допустимые их характеристики. Вместе с тем, анализ используемых критериев линейности усилителей показывает, что применительно к групповым СлС они характеризуются высокой дисперсией погрешности измерений и низкой чувствительностью [19,22,37,42,44,45]. Кроме того, с их использованием не удается задавать пороговые предельные значения характеристик искажений. Поэтому они непригодны для высокоточной регулировки рабочей точки усилителя и его адаптации к меняющимся характеристикам усиливаемого группового СлС [42,44,45].

Кроме того, разрабатываемые методы предварительного искажения усиливаемых групповых СлС требуют компенсации недостаточного динамического диапазона линейного участка характеристики усилителя увеличением динамического диапазона усиливаемого сигнала, в результате чего на вход усилителя подается искаженный сигнал с еще большим динамическим диапазоном, по сравнению с неискаженным. Поскольку дефицит динамического диапазона может составлять десятки дБ, отдельные участки сигнала следует усиливать предварительными усилителями с разными коэффициентами усиления со значительным разбросом их значений. Более того, усиление сигнала с большим динамическим диапазоном практически всегда сопровождается амплитудно-фазовой конверсией, неустойчивой и нестабильной работой усилителя. Поэтому эти методы применимы лишь при усилении относительно небольшого числа сигналов с малым разбросом амплитуд, когда пик-фактор усиливаемого сигнала не превышает приблизительно ЮдБ.

Разработка принципов линейного усиления групповых сложных сигналов, представляющих собой случайные функции времени, невозможна без исследования характеристик этих искажений. Наибольший вклад в развитие методов анализа нелинейных искажений случайных процессов внесли Левин

Б.Р., Тихонов В.И., Харисов В.Н., Прохоров В.А., Евсиков Ю.А и.т.д.[13,25,28,32-34,43,50,59], а методы эффективного усиления случайных сигналов с модуляцией огибающих разрабатывались в работах Артыма А.Д., Попова И.А., Розова В.М.,Николаева В.В., Козина Е.В., Пустовойтовского А.С.[26,29,30,66,67,111,112] . При этом характеристики групповых СлС при их нелинейных преобразованиях исследовались в ряде работ, где выявлены закономерности в изменении отношения сигнал/помеха в процессе таких преобразований для нескольких частных случаев: когда на вход нелинейного устройства воздействуют два СлС; когда количество СлС велико, но мощность одного из них существенно превосходит суммарную мощность всех остальных [26,37,47-49]. Причем в этих работах показано, что основная проблема, возникающая при анализе характеристик нелинейных преобразований случайных процессов, которыми описываются групповые СлС, заключается в необходимости вычисления интегралов от функционалов их двумерной плотности вероятностей, определяемой перед нелинейным преобразованием. Эти интегралы вычислены лишь для нескольких частных случаев, в которых случайные процессы на входе нелинейного преобразователя являются гауссовскими. Однако, для случайного процесса, образуемого совокупностью СлС со случайными задержками, не существует методики расчета этих интегралов.

Таким образом, анализ публикаций в исследуемой области показал отсутствие как теоретических, так и практических результатов по разработке принципов построения высокоэффективных усилителей мощности групповых сложных сигналов.

В соответствии с вышесказанным, основная проблема, решаемая в диссертации заключается в следующем: необходимо разработать и обосновать принципы построения радиотрактов формирования и усиления групповых СлС в базовых станциях радиосистем СЭМА-стандартов подвижной связи, позволяющие повысить КПД выходного усилителя не менее чем до 50.60% и более при обеспечении требований к выходной мощности, характеристикам нелинейных искажений и использовании энергетически эффективных режимов работы усилителей мощности.

Цель и задачи работы. Целью работы является теоретическое обобщение и решение научно-прикладной проблемы, заключающейся в реализации возможностей методов статистического анализа случайных процессов при их нелинейных преобразованиях для анализа характеристик искажений групповых СлС и повышения эффективности радиотрактов формирования и усиления групповых СлС.

Достижение этой цели связано с решением совокупности задач:

- разработать адекватную математическую модель случайного процесса, образованного аддитивной смесью СлС, излучаемых синхронно или асинхронно со случайными значениями амплитуд;

- произвести сравнительный анализ и выбор методов статистического анализа характеристик искажений групповых СлС в радиотрактах при использовании типичных их математических моделей; с использованием выбранного метода провести теоретическое исследование характеристик неискаженных составляющих групповых СлС; после нелинейных преобразований и образующихся интермодуляционных помех;

- разработать методы компьютерного моделирования нелинейных преобразований групповых СлС;

- разработать новые высокоточные критерии и методы измерения искажений групповых СлС в радиотрактах;

- на основе полученных результатов теоретических исследований разработать методы линеаризации радиотрактов формирования и усиления групповых СлС при использовании высокоэффективных режимов работы усилителей мощности и методов измерения характеристик искажений сигналов в них.

Методы исследований

Теоретические и практические результаты диссертации базируются на использовании:

- методов теории статистического анализа случайных процессов, теории вероятностей и математической статистики;

- теории обобщенного корреляционного и спектрального анализа сигналов;

- методов построения высокоэффективных высоколинейных усилителей мощности.

Научные результаты и их новизна

1. Разработана математическая модель группового СлС с прямым расширением спектра и единственной несущей на выходе нелинейного безинерционного амплитудно-фазового преобразователя, описывающаяся как аддитивная смесь полезных СлС и интермодуляционной помехи; последняя, в случае асинхронно смещенных друг относительно друга по времени СлС, представляет собой сумму апериодических импульсных случайных процессов, в которых моменты появления импульсов описываются как пуассоновские потоки с характеристиками, определяющимися видом амплитудной нелинейности.

2. Показано, что для большинства видов нелинейностей данный подход позволяет в аналитическом виде описать как полезный сигнал на выходе нелинейного преобразователя, так и образующуюся интермодуляционную помеху и исследовать важные для практических приложений характеристики распределения мощностей сигналов и помехи в любой заданной полосе частот.

3. Установлены важные закономерности в характеристиках искажений групповых СлС при их нелинейных преобразованиях; в частности показано, что спектр интермодуляционной помехи расширяется за ее пределы лишь при асинхронном излучении СлС, что объясняется увеличением интенсивностей пуассоновских потоков, описывающих характеристики импульсов помех, пропорционально числу СлС абонентов; в случае, если элементарные символы сигналов абонентов излучаются синхронно, расширения спектра помехи не будет, и вся ее мощность сосредоточена в полосе полезных СлС.

Практическая ценность

1. Предложены методы измерения коэффициента усиления группового СлС нелинейным усилителем, а также выходной мощности, приходящейся на полезный СлС и интермодуляционную помеху; эти методы основаны на вычислении взаимно корреляционной функции сигнала на входе усилителя и результирующего сигнала на его выходе; они характеризуются высокой чувствительностью и низкой погрешностью и могут использоваться для высокоточной регулировки режима работы усилителя мощности.

2. Предложен метод линеаризации радиотракта формирования и усиления группового СлС при использовании энергетически эффективного режима работы усилителя, то есть граничного режима класса В или С без

12 использования автоматической регулировки режима; метод предполагает введение асинхронных сдвигов сигналов абонентов при формировании группового СлС на частоте псевдослучайных последовательностей (ПСП) с последующим применением «жесткого» ограничителя и фильтрацией образовавшихся интермодуляционных помех в ФНЧ с учетом искажений огибающих элементарных импульсов СлС при их последующем прохождении через нелинейный усилитель. Этот метод применим при усилении не менее чем 15.20 СлС со значительным разбросом амплитуд, поскольку лишь в этом случае спектр интермодуляционной помехи значительно шире полосы частот полезного СлС, и относительная доля ее мощности в полосе СлС составляет менее 15% общей мощности. В этом случае возможно увеличение КПД усилителя приблизительно до 50%.

3. Другой способ предполагает использование метода Кана для максимизации КПД. Новизна данного подхода состоит в следующем: во-первых, применение метода Кана для усиления шумоподобных сигналов до> настоящего времени считалось- нецелесообразным, из-за «широкополосности» их огибающей, что делает невозможным^ использование транзисторного ключа для. усиления ШИМ сигнала, в. который преобразуется эта огибающая! в передатчике Кана; во-вторых, проблема, сформулированная выше, была решена на основе разработанного метода разложения огибающей группового СлС в ряд по функциям Уолша с использованием быстрого преобразования Адамара (БПА); весовые коэффициенты этих функций остаются постоянными в течение длительности времени выборки сигнала, что позволяет снизить частоту переменной составляющей в тракте огибающей до единиц-десятков кГц и, после применения к ней ШИМ, усилить ее с помощью* транзисторного ключа, [б 6]

Основные положения, выносимые на защиту

1. Разработанный метод анализа и результаты исследования характеристик искажений групповых СлС при их нелинейных амплитудно-фазовых преобразованиях в устройствах формирования и усиления, подтвержденные с использованием имитационного компьютерного моделирования.

2. Критерий и соответствующий ему метод измерения нелинейных искажений группового СлС, основанный на вычислении взаимно корреляционной функции сигнала на входе нелинейного радиотракта и

13 результирующего сигнала на его выходе; он характеризуется высокой чувствительностью и низкой погрешностью и может использоваться для высокоточной регулировки режима работы усилителя мощности.

3. Разработанный метод линеаризации и повышения эффективности радиотрактов формирования и усиления групповых СлС при большом числе сигналов абонентов, излучаемых асинхронно, на основе использования энергетически эффективного граничного или слабоперенапряженного режима работы усилителя с отсечкой (В или С), применения "жесткого" ограничителя и фильтрации образующихся интермодуляционных помех с помощью ФНЧ на частоте ПСП.

4. Обоснование использования принципов Кана для усиления группового СлС и разработанный метод построения тракта усиления широкополосной огибающей в передатчике Кана при ее разложении в ряд по функции Уолша с медленно меняющимися весовыми коэффициентами.

Апробация работы

Материалы диссертации обсуждались и получили одобрение на следующих Всероссийских и международных НТК, семинарах и симпозиумах: XV Межрегиональная научно-техническая конференция «Обработка сигналов в системах наземной радиосвязи и оповещения», Нижний Новгород - Москва.

2007 г.; XVI Межрегиональная научно-техническая конференция «Обработка сигналов в системах наземной радиосвязи и оповещения», Пушкинские горы -Москва 2008 г.; II Отраслевая научно-техническая конференция «Технологии информационного общества», Москва 2008 г.; III Отраслевая научно-техническая конференция «Технологии информационного общества», Москва

2008 г.; VIII Международная научно-техническая конференция «Перспективные технологии в средствах передачи информации» - Владимир

2009 г.;

Публикации по работе

По теме диссертации опубликовано 12 печатных работ, в том числе 3 статьи в научно-технических журналах.

Диссертация состоит из введения, четырех глав, заключения и двух приложений, библиографический список содержит 122 литературных источника.

Похожие диссертационные работы по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Заключение диссертации по теме «Радиотехника, в том числе системы и устройства телевидения», Клинков, Андрей Александрович

Основные результаты проведенных исследований заключаются в следующем:

5. Проведен анализ радиотрактов формирования и усиления групповых сложных сигналов (СлС) базовых станций (БС) современных СОМА-систем связи. Показано, что из-за значительного пик-фактора таких сигналов и, следовательно, высоких требований к линейности режимов работы усилительных устройств, КПД последних весьма низкий, и на практике не превышает 10%.

6. Разработана компьютерная модель и проведено имитационное моделирование случайного процесса, описывающего групповой СлС, образованный аддитивной смесью СлС, формируемых синхронно или асинхронно со случайными значениями амплитуд. На основе разработанной модели проведено исследование статистических характеристик огибающих квадратурных составляющих групповых СлС

3. На основе результатов сравнительного анализа существующих критериев линейности радиотрактов устройств систем подвижной связи показано, что применительно к СЭМА-системам они характеризуются значительной погрешностью, сильно зависящей от изменяющихся параметров работы системы, и не позволяют описать нелинейные преобразования формы огибающей сигналов в основной полосе частот. Для решения данной проблемы необходима разработка нового корреляционного критерия для оценки нелинейных продуктов, в том числе и интермодуляционных помех.

4. Разработана математическая модель группового сложного сигнала (СлС) на выходе устройства с нелинейной характеристикой при воздействии на его входе совокупности четверичных фазоманипулированных сигналов со случайными задержками друг относительно друга по времени и случайными амплитудами; выходной сигнал представляет собой аддитивную смесь полезного группового СлС и интермодуляционной помехи, представляющей собой сумму апериодических импульсных случайных процессов, характеристики которых определяются лишь видом нелинейности устройства. Данный подход для большинства видов нелинейностей позволяет в аналитическом виде описать как полезный сигнал на выходе устройства, так и образующуюся интермодуляционную помеху и исследовать важные для практических приложений характеристики распределения мощностей сигналов и помехи в любой заданной полосе частот.

5. Было показано, что при нелинейных преобразованиях группового СлС спектр образующейся интермодуляционной помехи расширяется за пределы его полосы частот лишь из-за наличия случайных сдвигов во времени сигналов, составляющих его. Это объясняется увеличением интенсивностей пуассоновских потоков, описывающих характеристики импульсов помех, пропорционально числу сигналов абонентов. В случае, если элементарные символы сигналов абонентов излучаются синхронно, расширения спектра помехи не будет, и вся ее мощность сосредоточена в полосе полезных СлС.

6. Разработаны метод и устройство измерения коэффициента усиления Кш группового СлС нелинейным усилителем, основанные на вычислении взаимно корреляционной функции сигнала на входе усилителя и результирующего сигнала на его выходе. Данный метод позволяет определить долю выходной мощности, приходящуюся на полезный СлС, а также ухудшение отношения сигнал/помеха, вызванное нелинейными искажениями. Последнее может использоваться для установления границы допустимых нелинейных искажений

7. Разработана методика анализа характеристик подавления помех в устройстве корреляционной обработки сложных сигналов, позволяющая использовать гауссовские аппроксимации случайных процессов на его выходе при воздействии как одиночных, так и совокупностей широкополосных структурных, интермодуляционных и шумовых флуктуационных помех.

8. Показано, что при введении асинхронных сдвигов сигналов абонентов и «жесткого» ограничения группового СлС в устройстве его формирования пропускная способность канала связи в среднем снижается не более чем на 10%, а при использовании ограничителя с линейным участком в случае синхронного излучения СлС пропускная способность в среднем уменьшается на 15. 17%. При применении «жесткого» ограничителя на входе ВЧ-усилительного тракта при синхронном излучении СлС или использовании ограничителя с линейным участком при р<0,б существенно снижается пропускная способности канала связи более чем на (50. .60)%, что является недопустимым. При синхронном излучении СлС с выхода нелинейного устройства, описывающегося как «жесткий» ограничитель или ограничитель с линейным участком, мощность образующейся интермодуляционной помехи сосредоточена в полосе частот полезных СлС. При этом в структуре помехи содержится информация обо всех преобразованных СлС. Это обстоятельство необходимо учитывать при разработке алгоритмов обработки СлС в приемниках АС.

9. Разработаны принципы построения высоколинейного усилителя группового СлС с высоким КПД порядка 60%. Новизна данных принципов состоит в применении для усиления шумоподобных сигналов метода Кана. Данный подход до настоящего времени считался нецелесообразным ввиду «широкополосности» огибающей групповых СлС, что делало невозможным использование транзисторного ключа для усиления ШИМ сигнала. Проблема, сформулированная выше, была решена на основе разработанного метода разложения огибающей группового СлС в ряд по функциям Уолша с использованием быстрого преобразования Адамара (БПА); весовые коэффициенты этих функций остаются постоянными в течение длительности времени выборки сигнала, что позволяет снизить частоту переменной составляющей в тракте огибающей до единиц-десятков кГц и, после применения к ней ШИМ, усилить ее с помощью транзисторного ключа.

10. В случае отсутствия регулировки мощности СлС абонентов, составляющих групповой СлС, предложено применение «жесткого» ограничения группового СлС в устройстве его формирования на низком уровне мощности, при снижении пропускной способности канала связи не более чем на 10% из-за появления интермодуляционной помехи. Показано, что с применением данного подхода и усилении группового СлС двухтактным усилителем класса В, удается повысить КПД усилительного тракта до 62%.

11. При наличии регулировки мощности СлС абонентов на выходе базовой станции и числе абонентов превышающем 15.20, предложено использование асинхронного режима формирования сигналов абонентов и применение «жесткого» ограничителя. В этом случае, при использовании ПАВ-фильтра с временным окном Кайзера, для фильтрации образующейся интермодуляционной помехи, и двухтактного линейного усилителя класса В, удается обеспечить КПД последнего порядка 47%

Заключение

Список литературы диссертационного исследования кандидат технических наук Клинков, Андрей Александрович, 2010 год

1. Варакин J1.E. Системы связи с шумоподобными сигналами. - М.: Радио и связь, 1985. - 384 с.

2. Феер К. Беспроводная цифровая связь. Методы модуляции и расширения спектра/ пер. с англ. под ред. В.И. Журавлева. М: Радио и связь, 2000. - 520 с.

3. Л.Е.Варакин, С.А. Анфилофьев, Ю.С. Шинаков. CDMA: прошлое, настоящее, будущее/ Под ред. Л.Е. Варакина и Ю.С.Шинакова Москва: MAC, 2003.-608 с.

4. Волков Л.Н., Немировский М.С., Шинаков Ю.С. Системы цифровой радиосвязи: базовые методы и характеристики: Учеб. Пособие. М.: Эко -Трендз, 2005.-392 с.

5. Скляр Б. Цифровая связь. Теоретические основы и практическое применение, 2-е издание: Пер. с англ. М.: Издательский дом «Вильяме», 2003.-1104 с.

6. Прокис Дж. Цифровая связь. М.: Радио и связь. 2000. - 800 с.

7. Весоловский К. Системы подвижной радиосвязи. М: Горячая линия - Телеком, 2006. - 536 с.

8. В.И. Борисов, В.М. Зинчук. Помехозащищенность систем радиосвязи. Вероятностно-временной подход. М: РадиоСофт, 2009. - 260 с.

9. Сельф Д. Проектирование усилителей мощности звуковой частоты -М: ДМК Пресс, 2009. 528 с.

10. Титов A.A., Ильюшенко В.Н. Схемотехника сверхширокополосных и полосовых усилителей мощности. М: Радиотехника, 2007. - 208 с.

11. Проектирование радиопередатчиков: Учеб. пособие для вузов/ В.В.Шахгильдян, М.С.Шумилин, В.Б. Козырев и др.; Под.ред. В.В.Шахгильдяна. 4-е изд., перераб. и доп. - М: Радио и связь, 2000. -625 с.

12. Шахгильдян В.В. Козырев В.Б., Ляховкин A.A. Радиопередающие устройства: Учебник для вузов.: Под ред. В.В. Шахгильдяна. 3-е изд., перераб. И доп. - М.: Радио и связь, 2003. - 560 с.

13. Тихонов В.И., Харисов В.Н. Статистический анализ и синтез радиотехнических устройств. М: Радио и связь, 2004. - 608 с.

14. Борисов В.И. Помехозащищенность систем радиосвязи с расширением спектра сигналов модуляцией несущей псевдослучайной последовательностью. — М: Радио и связь, 2003. — 640 с.

15. Jhong Sam Lee, Leonard E. Miller CDMA Systems Engineering Handbook, Boston-London: Artech House, 1998 - 1230 p.

16. Harry Holma, Antti Toscala. WCDMA for UMTS. Radio Access for Third Generation Mobile Communications, third edition. London: John Wiley & Sons Ltd, 2004. - 450 p.

17. Г.И.Тузов, Ю.Ф. Урядников, В.И. Прытков. Адресные системы управления и связи. Вопросы оптимизации / Под ред. Г.И. Тузова.- М.: Радио и связь, 1993. 382 с.

18. Ипатов В. Широкополосные системы и кодовое разделение каналов. Принципы и приложения. М: Техносфера, 2007. - 488 с.

19. Анищенко B.C., Астахов В.В. Нелинейные эффекты в стохастических и динамических системах. М: Ижевск ИКИ, 2004. - 544 с.

20. Гантмахер В.Е., Быстров Н.Е., Чеботарев Д.В. Шумоподобные сигналы. Анализ, синтез, обработка. СПб.: Наука и техника, 2005. - 400 с.

21. Крылов Г.М., Пруслин В.З., Богатырев Е.А. и др. Амплитудно-фазовая конверсия.: Под. Ред. Г.М.Крылова. -М: Связь, 1979. -256 с.

22. Тузов Г.И., Сивов В.А., Прытков В.И. и др. Помехозащищенность радиосистем со сложными сигналами. М: Радио и связь, 1985. - 256 с.

23. Горностаев Ю.М., Невдяев JI.M. Новые стандарты широкополосной радиосвязи на базе технологии WCDMA. М.: Совместное издание МЦНТИ и ИТЦ "Мобильные коммуникации". - 1999. -166 с.

24. Viterbi A.J. The evolution of Digital Wireless Technology from Space Exploration to Personal Communication Services// IEEE Transaction on Vehicular Technology, 1994, v.43, №3

25. Левин Б.Р., Шварц В. Вероятностные модели и методы в системах • связи и управления. М.: Радио и связь, 1985. - 312 с.

26. Бокк О.Ф. Предельные возможности линеаризации усилителей радио-частоты. Радиотехника. - 1976. -№ 6. - С. 67-75.

27. Богданович Б.М. Нелинейные искажения в приемоусилительных устройствах. -М.: Связь, 1980. -280 с.

28. Сосулин Ю.Г. Теория обнаружения и оценивания стохастических сигналов. М.: Советское радио, 1978. — 320 с.

29. Peter В. Kenington. High-Linearity RF Design.- Artech House, Boston, London 2000.-531 p.

30. Ежиков Ю.С. Справочник по схемотехнике усилителей. 2-е издание переаб. - М: Радиософт, 2002. - 270 с.

31. J.C. Pedro, N.B. Carvalho. Intermediation distortion in microwave and wireless circuits. Boston-London: Artech House, 2003. - 432 p.

32. Миллер Б.P., Панков A.P. Теория случайных процессов в примерах и задачах. М: ФИЗМАТЛИТ, 2002. - 320 с.

33. Вентцель A.JI. Курс теории случайных процессов. 2-е издание доп. -М.: Наука. Физматлит, 1996. - 400 с.

34. Павлов А.Н. Методы анализа сложных сигналов: Учебн. пособие для студ. физ. фак. Саратов: Научная книга, 2008. - 120 с.

35. Смирнов Н.И., Горгадзе С.Ф. Оценка эффективности использования мощности нелинейного ретранслятора в системах передачи информации с кодовым разделением// Электросвязь, 1995, №6.

36. Курушин А., Недера В. Усилители мощности с высокой линейностью для базовых станций беспроводной связи// Chip News, 2002, вып, №7.

37. Горгадзе С.Ф. Характеристики энергетических спектров шумоподобных сигналов//Электросвязь, 1988, №5.

38. Прудников А.П., Брычков Ю.А., Маричев О.И. Интегралы и ряды. М.: Наука, 1981.

39. Громаков Ю.А. Стандарты и системы подвижной радиосвязи. -М.: АОЗТ "ЭКО-Трендз КО", 1997.

40. Смирнов Н.И., Горгадзе С.Ф. Синхронное кодовое разделение абонентских станций перспективное поколение персональных систем связи// Технологии и средства связи, 1998, №4.

41. Горгадзе С.Ф., Клинков A.A. Характеристики интермодуляционных помех, возникающих при нелинейных преобразованиях совокупностей сложных сигналов/ /Электросвязь, 2008, №7, С. 32-35.

42. Левин Б.Р. Теоретические основы статистической радиотехники. М.: Радио и связь, 1989. - 653 с.

43. Горгадзе С.Ф., Клинков A.A. Критерии линейности усилителей базовых станций сотовых систем CDMA// Электросвязь. 2009. - №7. -с.26-30.

44. Клинков A.A. Подавление групповых сложных сигналов в устройстве с нелинейной амплитудной и фазовой характеристиками // Труды МТУ СИ 2008 г. "ИД Медиа Паблишер" Москва. - 2008. с.231 -237.

45. Кан А. Отношение сигнал/шум в полосовых ограничителях// Зарубежная радиоэлектроника, 1961,№8.

46. Пестряков В.Б., Сенявский А.Л., Судовцев В.А. Подавление в малоканальной системе связи. В кн.: Методы помехоустойчивого приема 4M и ФМ. - М.: Сов. радио, 1970.

47. Сенявский А.Л., Судовцев В.А., Журавлев В.И. Подавление в ретрансляторе ИСЗ при малом числе абонентов. В кн.: Труды МЭИС, 1969.

48. Дифранко, Рубин. Анализ искажений при обработке радиолокационного сигнала// Зарубежная радиоэлектроника, 1963, №9.

49. Тихонов В.И. Статистическая радиотехника. 2-е изд. перераб. и доп. -М.: Сов. радио, 1982. - 624 с.

50. Ширяев А.Н. Вероятность. -М.: Наука, 1980. 574 с.

51. Боровиков A.A. Математическая статистика. М.: ФИЗМАТ ЛИТ, 2007.-704 с.

52. Королюк B.C., Портенко Н.И., Скороход A.B., Трубин А.Ф. Справочник по тории вероятностей и математической статистике. М.: Наука, 1985.-640 с.

53. Корн Г., Корн Т. Справочник по математике для научных работников и инженеров/ пер. с англ. -М.: Лань,2003. 832 с.

54. Под ред. М.А.Быховского. Управление радиочастотным спектром и электромагнитная совместимость радиосистем. М.: Эко-Трендз, 2006. — 376 с.

55. Галкин В.А. Цифровая мобильная связь. — М.: Горячая линия -Телеком, 2007. 432 с.

56. Титов А.А. Транзисторные усилители мощности MB и ДМВ.- М.: Солон-пресс, 2006. 328 с.

57. Viterbi A.J. CDMA: Principle of spread spectrum communication. Addison-Wesley, 1995.-245 p.

58. Евсиков Ю.А., Чапурский B.B. Преобразования случайных процессов в радиотехнических устройствах. М.: Высш.шк., 1977. — 264 с.

59. Сергиенко А.Б. Цифровая обработка сигналов. СПб.: Питер, 2002.-608 с.

60. Степанов А.В., Матвеев С.А. Методы компьютерной обработки сигналов. -М.: СОЛОН-Пресс, 2003.-208 с.

61. Подкур М.Л. Разработка приложений на С++ в среде MATLAB. -М.: ДМК-Пресс, 2008.-496 с.

62. Смоленцев Н.К. MATLAB: программирование на Visual С#, Borland JBuilder, VBA. СПб.: Питер, 2009. - 464.

63. Кетков Ю., Кетков А., Шульц М. MATLAB 6.x: программирование численных методов. М.: BHV, 2004. - 672 с.

64. Трифонов А.П. Захаров А.В., Чернояров О.В. Оценка дисперсии случайного процесса с неизвесным временем прихода // Радиотехника и электроника. 1996.-Т.41.-№10.-С. 1207-1210.

65. А.Д. Артым, В.Г. Бахмутский, Е.В. Козин и др. Повышение эффективности мощных радиопередающих устройств.; Под ред. А.Д. Артыма. М.: Радио и связь, 1987. - 176 с.

66. В.Б. Козырев, В.Г. Лаврушенков, В.П. Леонов и др. Транзисторные генераторы гармонических колебаний в ключевом режиме.; Под ред И.А. Попова. -М.: Радио и связь, 1985.-192 с.

67. Окунев Ю.Б., Яковлев Л.А. Широкополосные системы связи с составными сигналами. -М.: Связь, 1968. 167 с.

68. В.И. Журавлев. Поиск и синхронизация в широкополосных системах. -М.: Радио и связь, 1986.-240 с.

69. H.Schulze, C.Luders Theory and Applications of OFDM and CDMA/ John Wiley & Sons Inc., 2005. - 421 p.

70. Glasic S.G. Vucetic B. Spread spectrum CDMA systems for wireless communications. Boston, London: Artech House, Inc., 1997. - 383 p.

71. Стельмашенко Б.Г., Тараненко П.Г. Нелинейные псевдослучайные последовательности в широкополосных системах передачи информации. -Зарубежная радиоэлектроника, 1988, №9.

72. Калмыков В.В., Юдачев С.С. Ансамбли составных кодовых последовательностей. — Вестник ГТУ: Сер. Приборостроение, 1994, №4.

73. Связь с подвижными объектами в диапазоне СВЧ/ Под ред. У.К.Джейкса. Пер. с англ. Под ред. М.С. Ярлыкова, М.В. Чернякова. М.: Связь, 1979. - 520 с.

74. Горгадзе С.Ф. Помехоустойчивость и эффективность широкополосной радиотелефонной сотовой системы подвижной связи. -Электросвязь, 1985, №1

75. Диксон Р.К. Широкополосные системы: Пер. с англ. / Под ред. В.И. Журавлева. -М.: Связь, 1979. 304 с.

76. Rappaport T.S. Wireless Communications (Principles and Practice). — New Jercey: Prentice Hall PTR, 1996. 736 p.

77. Бобков В.Ю., Вознюк M.A., Никитин A.H., Сивере М.А. Системы с кодовым разделением каналов. СПб.: СПбГУТ, 1999. - 120 с.

78. Калмыков В.В., Васильев Д.С. Ослабление внутрисистемной помехи в системах подвижной связи с шумоподобными сигналами. -Мобильные системы, 2001, №2.

79. Van Nee R., Prasad R. OFDM for wireless multimédia communications. London: Artech House, 2000 - 260 p. •

80. Шахгильдян B.B., Белюстина JI.H. Системы фазовой синхронизации. M.: Радио и связь, 1982 - 288 с.

81. Давыдкин П.Н., Колтунов М.Н. Рыжков А.В. Тактовая сетевая синхронизация/ Под ред. М.Н. Колтунова. — М.: Эко-Трендз, 2004. 205 с.

82. Шахгильдян В.В., Ляховкин А.А., Карякин В.Л. и др. Системы фазовой синхронизации с элементами дискретизации/ Под ред. В.В. Шахгильдяна.- М.: Радио и связь, 1989.-320 с.

83. Антипенский Р.В. Разработка моделей сложных сигналов.// Компоненты и технологии, 2007. №7.

84. Jaffe R.C. Random Signais for Engineers using MATLAB and MathCAD. Springer, 2000/ - 3 74 p.

85. Левин В.A. и др. Синтезаторы частот с системой импульсно-фазовой автоподстройки. —М.: Радио и связь. — 1989. -321 с.

86. Иванюшкин Р.Ю. Исследование и разработка высокочастотного тракта радиовещательного СЧ передатчика, построенного по методу дефазирования.: Автореферат дисс. канд. наук / МТУ СИ М., 2001. - 21 с.

87. Антенны и устройства СВЧ. Проектирование фазированных антенных решёток. Учебное пособие / Под ред. Д.И. Воскресенского. — Москва: Радио и связь, 1994. — 592 с.

88. Баскаков С. И. Радиотехнические цепи и сигналы. — М.:Высшая школа, 2005.-462 с.

89. Дингес С.И. Мобильная связь: технология DECT. M.: СОЛОН-Пресс, 2003.-272 с.

90. Маковеева М.М., Шинаков Ю.С. Системы связи с подвижными объектами. М.: Радио и связь, 2002. - 440 с.

91. Манжиров A.B., Полянин А.Д. Справочник по интегральным уравнениям. М: ФИЭМАТЛИТ,2003 - 608 с.

92. Лезин Ю.С. Оптимальные фильтры и накопители импульсных сигналов.- М. Сов. радио, 1969. 477 с.

93. Лондон С.Е., Томашевич C.B. Справочник по высокочастотным трансформаторным устройствам. М: Радио и связь, 1984. - 216 с.

94. J. Liberti, Т. Rappaport, Smart Antennas for Wireless Communications: IS-95 and Third Generation CDMA, New Jersey, USA: Prentice Hall, 1999. 528 p.

95. Лидл P., Нидеррайтер Г. Конечные поля. Том 1. -M.: Мир.-1988. -822 с.

96. Рабинер Л., Гоулд Б.'Теория и применение цифровой обработки сигналов". -М: Мир, 1978. 835 с.

97. Гольденберг Л.М., Матюшкин Б.Д., Поляк М.Н. "Цифровая обработка сигналов". -М: Радио и связь, 1985. 312 с.

98. Ахмед Н., Pao К. "Ортогональные преобразования при обработке цифровых сигналов": Пер.с английского под редакцией И.Б. Фоменко. -М: Связь, 1980.-248 с.

99. Голубов Б.И., Ефимов A.B., Скворцов В.А. "Ряды и преобразования Уолша: Теория и применение". -М., Наука, 1987. 344 с.

100. Эдварде K.P. "Применение преобразований Радемахера-Уолша для классификации булевых функций и логического синтеза пороговыхсхем" ВЦП 77/524 №74787 в журн. IEEE Trans, on Comput, Vol. C-24, № 1, Jan. 1975, pp. 48-62.

101. Чаки Ф. Современная теория управления. Нелинейные оптимальные и адаптивные системы. Под ред. Н.С. Райбмана. — М: МИР, 1975.-422 с.

102. Бузов A.JL, Быховский М.А., Васехо Н.В. и др. Управление радиочастотным спектром и электромагнитная совместимость радиосистем/ Под ред. М.А. Быховского. — М.: ЭкоТрендз, 2006. 376 с.

103. Литюк В.И., Литюк Л.В. Методы цифровой многопроцессорной обработки радиосигналов. М: Солон-Пресс, 2007. — 592 с.

104. Дьяконов В.П. Генерация и генераторы сигналов. М: ДМК Пресс,2008. - 384 с.

105. Типольский В.Б. Схемотехника измерительных устройств. М: Бином, Лаборатория знаний, 2006. - 232 с.

106. Морган Д. Устройства обработки сигналов на поверхностных акустических волнах: Пер. с англ. М.: Радио и связь, 1990. - 416 е.: ил.

107. Марпл С.Л. Цифровой спектральный анализ и его приложения: Пер. с англ. -М.: Мир, 1990. 584 с.

108. Зюко А.Г., Фалько А.И., Панфилов И.П. и др. Помехоустойчивость и эффективность систем передачи информации/ Под ред. А.Г. Зюко. -М.: Радио и связь, 1985. 272 с.

109. Титиов А.А. Транзисторные усилители мощности MB и ДМВ. -М.: Солон-Пресс,2006. 328 с.

110. V. Borich, J.H. Jong, J. East and WE. Stark, Nonlinear Effects of Power Amplification on Multicamer Spread Spectrum Systems, 1998 IEEE MTT-S Digest, p. 323.

111. P.M. Asbeck, T. Itoh, Y. Qian, M.F. Chang, L. Milstein, G. Hanington, P.F. Chen, V. Schultz, D.W. Lee and J. Arun., Device and Circuit Approaches for Improved Linearity and Efficiency in Microwave Transmitters, 1998 IEEE MTT-S Digest, p. 327.

112. Томаси У. Электронные системы связи. М.: Техносфера, 2007. - 1360 с.

113. Кааранен X., Ахтиайнен А., Лаитинен Л. Сети UMTS. Архитектура, мобильность и сервисы. М.: Техносфера, 2007. - 464 с.

114. Вишневский В.М., Ляхов А.И., Портной С.Л., Шахнович И.В. Широкополосные беспроводные сети передачи информации. М.: Техносфера, 2005. — 592 с.

115. Зюко А.Г., Фалько А.И., Панфилов И.П., Банкет В.Л., Иващенко П.В. Помехоустойчивость и эффективность систем передачи информации. 3-е изд. перераб. и доп. - М.: Радио и связь, 1985. - 272 с.

116. Голубов Б.И., Ефимов A.B., Скворцов В.А. Ряды и преобразования Уолша: Теория и применения. М.: ЛКИ, 2008. - 352 с.

117. Под ред. С. Гуна, X. Уайтхауса, Т. Кайлата. Сверхбольшие интегральные схемы и современная обработка сигналов: Пер. с англ. — М.: Радио и связь, 1989. — 472 с.

118. Кун С. Матричные процессоры на СБИС. М.: МИР, 1991. - 672с.

119. Давыдова Н.С. Радиопередающие устройства. — М.: МАИ, 2003. -340 с.

120. В.Н;Кулешов, Н.Н.Удалов, В.МБогачев и др. Генерирование колебаний и формирование радиосигналов: учебное пособие/ под ред. В.Н.Кулешова, Н.Н.Удалова. Издательский дом МЭИ,2008.-416с.

121. Зааль Р. Справочник по расчету фильтров. М.:Радио и связь, 1983. - 752 с.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.