Повышение помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике тема диссертации и автореферата по ВАК РФ 05.12.04, кандидат наук Нгуен Дак Кы

  • Нгуен Дак Кы
  • кандидат науккандидат наук
  • 2021, ФГАОУ ВО «Санкт-Петербургский политехнический университет Петра Великого»
  • Специальность ВАК РФ05.12.04
  • Количество страниц 122
Нгуен Дак Кы. Повышение помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике: дис. кандидат наук: 05.12.04 - Радиотехника, в том числе системы и устройства телевидения. ФГАОУ ВО «Санкт-Петербургский политехнический университет Петра Великого». 2021. 122 с.

Оглавление диссертации кандидат наук Нгуен Дак Кы

Список сокращений

Введение

1. Методы повышения спектральной и энергетической эффективности систем передачи сообщений при использовании многочастотных неортогональных сигналов

1.1. Представление многочастотных неортогональных сигналов

1.2. Энергетические спектры случайных последовательностей многочастотных неортогональных сигналов

1.3. Характеристика межсимвольной интерференции многочастотных неортогональных сигналов

1.4. Пик-фактор случайной последовательности многочастотных неортогональных сигналов

1.5. Характеристики безынерционного амплитудного ограничителя

1.6. Прием многочастотных неортогональных сигналов

1.6.1. Алгоритм поэлементного когерентного приема

1.6.2. Реализация алгоритма поэлементного когерентного приема

1.6.3. Вычислительная сложность алгоритма поэлементного когерентного приема

1.7. Оценка помехоустойчивости приема многочастотных неортогональных сигналов

1.8. Цель и постановка задач работы

2. Методика оценки спектральных характеристик и пик-фактора многочастотных неортогональных сигналов

2.1. Цифровые модуляторы многочастотных неортогональных сигналов

2.2. Оценки спектральных характеристик и пик-фактора многочастотных неортогональных сигналов со скругленными формами огибающей

2.3. Методика расчета спектральных характеристик и значения пик-фактора

2.4. Определение уровня ограничения амплитуды сигналов, позволяющее получить энергетические выигрыши при приеме за счет увеличения средней мощности излучаемых колебаний

2.5. Выводы по разделу

3. Итеративный алгоритм демодуляции многочастотных неортогональных сигналов с обратной связью по решению

3.1. Алгоритм поэлементного приема с обратной связью по решению

3.2. Итерационная процедура реализации алгоритма поэлементного приема с обратной связью по решению

3.3. Выводы по разделу

4. Вычислительная сложность демодуляторов с обратной связью по решению

4.1. Цифровые демодуляторы многочастотных неортогональных сигналов

4.2. Цифровая реализация алгоритма приема с обратной связью по решению

4.3. Анализ вычислительной сложности алгоритма приема с обратной связью по решению

4.4. Выводы по разделу

5. Оценка помехоустойчивости приема многочастотных сигналов

5.1. Имитационное моделирование системы

5.2. Оценка помехоустойчивости алгоритма приема многочастотных неортогональных сигналов с обратной связью по решению

5.3. Оценка эффекта группирования ошибок при использовании алгоритма с обратной связью по решению для приема неортогональных многочастотных сигналов

5.4. Анализ спектральных и энергетических характеристик многочастотных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике

5.4.1 Многочастотные сигналы с прямоугольной огибающей

5.4.2 Многочастотные сигналы с огибающей вида «sin»

5.5. Применение для стандарта DVB-T2

5.6. Выводы по разделе

Заключение

Список используемой литературы

Приложение

Приложение

Приложение

Список сокращений

АБГШ - Аддитивный белый гауссовский шум;

БПФ - Быстрое преобразование Фурье;

ДПФ - Дискретное преобразование Фурье;

ФМ-2 - Фазовая манипуляция-2 (Phase-shift keying);

КПД - Коэффициент полезного действия;

ОБПФ - Обратное быстрое преобразование Фурье;

ОДПФ - Обратное дискретное преобразование Фурье;

МСИ - Межсимвольная интерференция;

МШУ - Малошумящий усилителя;

ПФ - Полосовой фильтр;

ЦАП - Цифро-аналоговый преобразователь;

АЦП - Аналого-цифровой преобразователь;

BER - Вероятность битовой ошибки (Bit Error Rate);

BPSK - Binary phase-shift keying;

DVB-T2 - Стандарт второго поколения цифрового наземного телевидения

(Digital Video Broadcasting - Second Generation Terrestrial);

ICI - Межканальная интерференция (Intercarrier interference);

LTE - Long-Term Evolution;

ML - Maximum likelihood;

MLSE - Максимально правдоподобная оценка (Maximum Likelihood Sequence Estimation);

OFDM - Мультиплексирование с ортогональным частотным разделением

(Orthogonal Frequency Division Multiplexing);

OOBE - Уровень внеполосных излучений (Out-of-band emission);

PAPR - Пик-фактор (Peak to average power ratio);

QPSK - Квадратурная фазовая манипуляция (Quadrature Phase Shift Keying); SNR - Отношение сигнал/шум (Signal-to-noise ratio);

Введение

Рекомендованный список диссертаций по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Введение диссертации (часть автореферата) на тему «Повышение помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике»

Актуальность решаемой задачи.

Развитие многочастотных систем передачи цифровых сообщений по протоколам LTE, WiFi, WiMAX, DVB-T осуществляется в направлении повышения спектральной эффективности (уменьшение полосы занимаемых частот при сохранении объемов передаваемой информации) при заданных требованиях к помехоустойчивости приема данных и аппаратной реализации радиомодемов. Для повышения значения спектральной эффективности используются многочастотные неортогональные сигналы, которые формируются на основе уменьшения значения разноса между поднесущими частотами.

Как и другие многочастотные сигналы, многочастотные неортогональные сигналы имеет следующие типичные проблемы: во-первых, такие многочастотные неортогональные сигналы имеют высокое значение пик-фактора. Данный факт делает системы передачи информации очень чувствительными к искажениям, вызываемым нелинейными устройствами. Необходимо отметить, что высокий уровень пик-фактора также приводит к снижению коэффициента полезного действия усилителя мощности. Вторая проблема для систем с множеством поднесущих частот - высокое значение уровня внеполосных излучений (OOBE). Применение разных типов огибающих поможет уменьшить OOBE, но также приведет к увеличению значения пик-фактора, что означает, что проблема остаётся серьезной. При использовании усилителя и ограничителя сигнала, простейший метод ограничения амплитуды позволяет достичь значительного снижения значения пик-фактора. Так, актуальность работы заключается в рассмотрении помехоустойчивости приема (зависимости вероятности ошибочного приёма от значения отношения сигнал-шум) многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в

радиопередатчике для различных форм огибающих сигналов на поднесущих частотах.

Для ограничения значения пик-фактора можно использовать ограничитель и усилитель. При фиксированном значении отношения сигнал-шум, такая комбинация устройства позволит увеличить среднюю мощность, что приводит к уменьшению значений вероятности ошибки. Отметим, что при значительном ограничении пик-фактора излучаемых колебаний увеличается уровень взаимной межсигнальной интерференции для соседних поднесущих частот. Данный факт приводит в свою очередь к увеличению значений вероятности ошибки. Это значит существует эффективное значение ограничения пик-фактора с точки зрения минимизации вероятности ошибки на приёме. В работе представлен алгоритм определения оптимального значения снижения пик-фактора излучаемых колебаний многочастотных сигналов по критерию максимизации энергетической эффективности.

Поскольку сигналы, которые передаются на рядом расположенных поднесущих частотах, не являются ортогональными, возникает межсимвольная интерференция (ICI). Такое влияние приводит к появлению дополнительной помехи во время демодуляции многочастотных неортогональных сигналов. Алгоритмы приема многочастотных неортогональных сигналов с учетом существования ICI строятся на основе двух методов приема сигналов. Первый метод является более простым, но имеет низкую помехоустойчивость. Второй метод приема «в целом» позволяет получить высокую энергетическую эффективность, но является очень сложным, и не позволяет реализовать высокие абсолютные скорости передачи сообщений.

В связи с этим, актуальность работы связана с необходимостью

разработки компромиссного решения задачи когерентного приема

многочастотных неортогональных сигналов в условиях существенной

межсимвольной интерференции, а именно использование итерационного

алгоритма поэлементной обработки с обратной связью по решению на каждой

6

поднесущей частоте. Компромисс заключается в том, что реализуется поэлементный когерентный прием, но при условиях, когда межсимвольная интерференция частично компенсируется, и в то же время применяется идея приема «в целом», заключающаяся в том, что решения о принимаемом сигнале зависят от решений о принятых сигналах, переданных на соседних поднесущих частотах.

Объектом исследования в работе является многочастотные неортогональные сигналы при наличии безынерционного амплитудного ограничения в радиопередатчике.

Предметом исследования является вероятность ошибочного приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике.

Целью работы является разработка методов повышения помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике.

Для достижения данной цели в работе поставлены следующие задачи:

1. Разработать методику оценки спектральных характеристик и пик-фактора многочастотных неортогональных сигналов с прямоугольной и со скругленными формами огибающей при наличии безынерционного амплитудного ограничения в радиопередатчике.

2. Разработать методику оценки значения снижения пик-фактора излучаемых колебаний многочастотных сигналов с различными формами огибающих сигналов на поднесущих частотах при условии получения минимальной вероятности ошибочного приема при наличии безынерционного амплитудного ограничения в радиопередатчике.

3. Разработать методы повышения помехоустойчивости приема на основе использования итеративного алгоритма демодуляции многочастотных неортогональных сигналов с обратной связью по решению для когерентного приема при условии существенной интерференции, вызванной влиянием

сигналов друг на друга, находящихся на поднесущих частотах.

7

4. Разработать цифровые демодуляторы многочастотных неортогональных сигналов, имеющие вычислительную сложность, существенно не превосходящую сложность классических алгоритмов поэлементного приема.

5. Провести имитационное моделирование системы передачи информации на основе многочастотных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике и аддитивного шума в канале передачи. Выполнить анализ энергетических и спектральных характеристик многочастотных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике для различных форм огибающих сигналов на поднесущих частотах.

Структура диссертации. Диссертационная работа состоит из введения, пяти глав, заключения, списка литературы и приложения.

Во введении сформулирована актуальность и новизна темы исследования, определены цель и основные задачи исследования, описана структура диссертационной работы и приведены сведения о практическом внедрении результатов диссертации.

В первой главе представлены аналитические выражения для случайной последовательности многочастотных неортогональных сигналов. Представлено математическое описание спектральных и энергетических случайных последовательностей многочастотных неортогональных сигналов. Рассмотрена характеристика межсимвольной интерференции многочастотных неортогональных сигналов. Выполнен обзор существующих алгоритмов приема многочастотных неортогональных сигналов в канале с АБГШ. В последнем разделе этой главы сформулированы подробные цель и задачи работы.

Во второй главе рассмотрена методика оценки и расчёта спектральных

характеристик и пик-фактора многочастотных неортогональных сигналов со

скругленными формами огибающей. Рассмотрена методика определения

уровня ограничения амплитуды сигналов, позволяющее получить

8

энергетические выигрыши при приеме за счет увеличения средней мощности излучаемых колебаний.

В третьей главе разработан итеративный алгоритм когерентного поэлементного приема многочастотных неортогональных сигналов с обратной связью по решению при условии существенной интерференции между сигналами. Рассмотрена процедуры реализации предложенного алгоритма поэлементного приема с обратной связью по решению.

В четвёртой главе решена задача рассмотрения вычислительной сложности предложенного алгоритма когерентного поэлементного приема с обратной связью. Показано, что в общем случае зависимость вычислительной сложности от числа обрабатываемых сигналов на поднесущих частотах для алгоритма с обратной связью по решению будет иметь квадратичный вид. Вычислительная сложность алгоритма с обратной связью оказывается значительно ниже, чем при использовании алгоритма приема «в целом», например, в 50 раз для простейшего случая приема с обратной связью при N= 10.

В пятом разделе приводится описание имитационной модели исследования. С помощью разработанной модели проведены оценки предложенных методов повышения помехоустойчивости приема и проведено сравнение с известными методами. Показано, что применение алгоритма приема с обратной связью по решению в случае значения частотного разноса между поднесущими частотами 0.8/Т позволяет получить энергетический выигрыш до 3 дБ при вероятности ошибок р=10^ по сравнению с обычным алгоритмом поэлементного когерентного приема без учета межканальной интерференции. Показано, что оптимальное значение снижения пик-фактора излучаемых колебаний многочастотных неортогональных сигналов по критерию максимизации энергетической эффективности составляет 4-5 дБ.

Научная новизна результатов диссертационной работы

1. Разработана методика расчёта спектральных характеристик и пик-

фактора реализаций многочастотных неортогональных сигналов, имеющих

9

компактный спектр и излучаемых через безынерционный амплитудный ограничитель в радиопередатчике, и определен уровень ограничения амплитуды сигналов, позволяющий получить повышение помехоустойчивости приема за счет увеличения средней мощности излучаемых колебаний.

2. Предложен эффективный итеративный алгоритм когерентного поэлементного приема с обратной связью по решению, предназначенный для демодуляции многочастотных неортогональных сигналов при условии существенной интерференции между сигналами, передаваемыми на поднесущих частотах, что позволило повысить помехоустойчивость приема сообщений.

3. Итеративный алгоритм когерентного поэлементного приема с обратной связью позволяет получить вычислительную сложность ниже, чем при использовании алгоритма приема «в целом», при условии, что обеспечивается близкая помехоустойчивость приема сообщений.

4. Разработана имитационная модель и с ее помощью показано, что выбор рационального порога ограничения совместно с использованием алгоритма с обратной связью по решению приводит к синергетическому эффекту -повышению помехоустойчивости приема и, как следствие, снижению энергетических потерь при приеме сигналов.

5. Показано, что имеется эффект группирования ошибочных решений при использовании алгоритмов с обратной связью по решению, но группирование ошибочных решений сказывается на снижении вероятности ошибочного приема, начиная со значений вероятностей ошибок равных р= 0.1-0.3 при величине разноса между поднесущими частотами а = 0.3-0.4.

Положения, выносимые на защиту

1. Показано, что независимо от уровня ограничения и вида огибающей сигналов на поднесущих частотах значение числа реализаций, достаточных для расчёта спектральных характеристик и пик-фактора, равно 200 для

количества поднесущих частот N=16 и не зависит от формы, огибающей сигналов.

2. Показано, что что при фиксированном отношении сигнала/шум, существует эффективное значение снижения пик-фактора по методу амплитудного ограничения с усилением, где вероятность ошибки достигает минимальной величины. Например, при отношении сигнал/шум равном 3 дБ значение вероятности ошибки уменьшается от величины р = 2-10"2 до р = 1.5-10"3, что эквивалентно энергетическому выигрышу 4-5 дБ.

3. Вычислительная сложность итеративного алгоритма когерентного поэлементного приема с обратной связью имеет зависимость квадратичного вида от числа поднесущих частот, и его вычислительная сложность оказывается значительно ниже, чем при использовании алгоритма приема «в целом», например, в 50 раз для числа поднесущих частот, равных 10.

4. При использовании алгоритмов с обратной связью энергетические выигрыши для значений вероятности ошибок р = 10-2 и р = 10-3 для различных разносов частот от а = 1.0 до а = 0.6 достигают величин от 0.2 дБ до 7.5 дБ по отношению к когерентному приему без учета межсимвольной интерференции.

5. Группирование ошибочных решений сказывается на снижении вероятности ошибочного приема, начиная со значений р = 0.1-0.3 при величине разноса между поднесущими частотами а = 0.3-0.4. В то же время для этих разносов наблюдается существенный энергетический выигрыш по отношению к случаю использования классического алгоритма поэлементного приема без обратной связи по решению, достигающий 7-9 дБ.

Теоретическая значимость результатов диссертационной работы

Теоретическая значимость диссертации состоит в разработке компромиссного алгоритма поэлементной когерентной обработки для повышения помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике. Результаты моделирования подтверждают

работоспособность предложенного алгоритма.

11

Практическая значимость результатов диссертационной работы

Практическая значимость исследования заключается в том, что снижение значения пик-фактора многочастотных неортогональных сигналов позволит позволяет повысить эффективность (КПД) работы усилителей мощности. При этом достигается синергетический эффект повышения помехоустойчивости приема неортогональных многочастотных сигналов.

Результаты работы внедрены в проекте по повышению помехозащищенности потоков данных с выпускаемых предприятием сетевых камер наружного наблюдения в стандарте DVB-T2, выполненном в ООО «ЭВС» в 2021 году. Акт внедрения приложен к диссертационной работе (Приложение 3). При этом практический интерес к результатам диссертации значительно шире и предложенные подходы могут быть использованы в перспективных разработках для спутникового цифрового телевидения, сотовой телефонии и др.

Апробация работы и публикации по теме диссертации

По теме представленных в диссертации исследований опубликовано 12 работ: 3 статей в ведущих рецензируемых отечественных журналах, входящих в перечень ВАК РФ, 5 - в сборниках материалов международных конференций, индексируемых в Scopus, 4 - в сборниках материалов конференций различных уровней, входящих в список РИНЦ.

Материалы, представленные в диссертации, прошли апробацию на международных и всероссийских научно-практических конференциях:

• Международная конференция «International Conference on Next Generation Wired/Wireless Advanced Networks and Systems», 2019 г., 2020 г., 2021 г.;

• Международная конференция молодых ученых «International youth conference on electronics, telecommunications and information technologies» {YETI), 2019 г., 2021 г.;

• Международная конференция «IEEE EExPolytech-2019: Electrical Engineering and Photonics», 2019 г.

• Всероссийскую научно-техническую конференцию, посвященную Дню радио «Российское научно-техническое общество радиотехники, электроники и связи им. А. С. Попова» (СПбНТОРЭС), 2018 г., 2019 г., 2020 г., 2021 г.

• Конференция «Неделя Науки СПбПУ» 2019 г., 2020 г.;

1. Методы повышения спектральной и энергетической эффективности систем передачи сообщений при использовании многочастотных неортогональных сигналов

Сокращение частотного ресурса в каналах передачи сообщений, цифрового телевидения и радиовещания при высоких требованиях к скорости передачи информации, является сдерживающим фактором развития современных телекоммуникационных технологий. Сокращение частотного ресурса вынуждает искать методы повышения спектральной эффективности или уменьшения полосы занимаемых частот. При использовании многочастотных сигналов к таким методам относится, как основной вариант, снижение разноса частот между поднесущими колебаниями при сохранении символьной скорости передачи на каждой поднесущей частоте [1,2,3,4,5,11,13]. При этом, разумеется, нарушается ортогональность сигналов и, как следствие, снижается энергетическая эффективность (снижается помехоустойчивость приема) приема информации [4,17]. Кроме того, при сближении поднесущих частот друг к другу возникает интерференция между сигналами, расположенных на соседних поднесущих частотах, и увеличивается величина пик-фактора [5,46,47]. Это обстоятельство становится особенно важным, если рассматривать не абстрактную идеальную систему передачи сообщений, а конкретную систему излучения сигналов, содержащую усилитель мощности, работающий в линейном режиме в ограниченном амплитудном диапазоне и в режиме ограничения сверх этого диапазона. В таких условиях рост пик-фактора излучаемых неортогональных многочастотных сигналов при использовании линейного режима приводит к снижению средней мощности излучения случайной последовательности сигналов и соответственно к дополнительному снижению энергетической эффективности.

В данном разделе будут рассмотрены временные и спектральные характеристики многочастотных неортогональных сигналов, показаны их

основные параметры и обсуждены, какие методы следует использовать в области формирования и приема сигналов, чтобы повысить энергетическую эффективность приема при наличии амплитудного безынерционного ограничения.

1.1. Представление многочастотных неортогональных сигналов

Рассмотрим случайную последовательность у(^ многочастотных сигналов в общем случае бесконечной длины. Выберем количество используемых поднесущих частот ши равное N. В таком случае, на Ъ-ом временном интервале по порядку следования формируются комплексные канальные символы с^), предназначенные для модуляции и-й поднесущей частоты, индекс г которых определяет значение этого символа. Такая случайная последовательность сигналов может быть представлена таким образом:

где амплитудный импульс а^) - вещественная огибающая сигналов, которая может иметь произвольную форму и длительность, которые определяются требованиями к степени компактности спектра колебаний. с^к) - символы канального алфавита; индекс k соответствует порядковому номеру символа в последовательности символов (&=0Д,2...го); индекс и обозначает номер поднесущей, и=0,1,2,..., N-1; индекс г для данного символа принимает значения г=1,2,3,..., т, где т - объем канального алфавита. При т=2 для г=1

На интервале времени ?е[0; Т] сигнал ) может быть записан по следующей форме:

^-1

У«) = X X * (< - кТ) , г е (-«;«)

(1.1)

к=—х п=0

С$=1, для г=2, сП2 =0.

^—1

(1.2)

п=0

где œn = n •œ0 = 2л • n • Af ;ю0= 2л • Af, Af - частотное разнесение между соседними поднесущими.

Важным параметром многочастотных сигналов является значение разноса между соседними поднесущими частотами, нормированное на значение разноса для сигналов с OFDM:

a = Af ■ T = Af / Aforth. (1.3)

Для OFDM сигналов значение а оказывается равным единице, то есть длительность OFDM символа T = 1/Aforth, Aforth - расстояние между соседними поднесущими, гарантирующее, что эти поднесущие ортогональны. Характеристики сигналов с OFDM анализированы в [7,8,15,16,26]. Условие ортогональности поднесущих частот имеет следующий вид:

T

Je]0¥ • eJ0,k'dt = 0,k Ф l. (1.4)

0

Между тем, для многочастотных неортогональных сигналов условие ортогональности не будет выполнено. В этом случае, а <1. Отметим, что в таком варианте с уменьшением значения а полоса частот (ширина спектра) уменьшается. Уровень данного уменьшения составляет (1-а)100% по сравнению с OFDM сигналами.

Обратимся к схематичному изображения многочастотного неортогонального сигнала (рис. 1.1). На этом рисунке представление сигналов видно как во временной, так и в частотной области. Здесь на каждой поднесущей частоте используются сигналы с прямоугольной огибающей. Прямоугольная огибающая сигнала a(t) представлена в следующим виде:

Г1, t e[0,T]

a(t) = j ' [ , ]. (1.5)

[ 0, иначе

Огибающие сигналов, при учете передачи на каждой поднесущей частоте, показаны по оси времени. В то же время амплитуды сигналов на понесущих частотах показаны по оси частот. Например, на рисунке отf до f3. Частотный разнос между поднесущими составляет Af<HT.

Рис. 1.1. Схематичное изображение многочастотного неортогонального сигнала по

времени и по частоте.

Для многочастотных неортогональных сигналов характерным является увеличение величины пиковой мощности Ртах при уменьшении частотного разноса соседних поднесущих и появлении межсигнальной интерференции. Данные факты приводят к тому, что, во-первых, при наличии безынерционного амплитудного ограничения при использовании линейного режима работы из-за снижения средней мощности сигналов происходит снижение энергетической эффективности. Во-вторых, дополнительное снижение качества приема сообщений связано с появляющейся межсигнальной интерференцией. Решению этих задач посвящена настоящая работа. Рассмотрим подробнее основные предпосылки по постановке цели и задачи работы.

1.2. Энергетические спектры случайных последовательностей многочастотных неортогональных сигналов

Спектр 5 многочастотного неортогонального сигнала я^) в (1.2) может быть вычислен по следующей формуле:

М-1

"=0 (1.6)

N-1 у '

5 (®) = Х 5а (0-0я )

п=0

-I-OU

где - спектр огибающей a(t).

—оо

Рассмотрим результат анализа энергетического спектра случайной последовательности OFDM сигналов. Как можно видеть, он является суммой энергетических спектров случайных последовательностей сигналов на каждой поднесущей частоте [8]. Для случайной последовательности многочастотных неортогональных сигналов (1.2), энергетический спектр может быть записан как:

G (И=^ EiИ2} (17)

где E { } - математическое ожидание случайной последовательности сигналов по всем возможным его реализациям, S И) - спектр k-ой реализации, а T = KT - длительность последовательности сигналов y(t) при K стремящемся к бесконечности.

C учётом (1.6), у нас есть математическое ожидание энергетического спектра сигнала (1.2) с длительностью KT будет равно

K-1 N-1

e{| Sk (и)|2 } = Ц| Sa И-И)

k=0 n=0 N-1

(1.8)

= K У| Sa И-И)

n=0

Таким образом, энергетический спектр случайной последовательности многочастотных неортогональных сигналов может быть определён следующим выражением:

1 ( \ 1 n-1

ад = Tim - E{| S И2} = Km —K SI Sa )

c

1 N-1

= 7 XI Sa И-И )

T I1 k 4 y| ) KTmcc KT a

T KT n=0 (1.9)

N-1

Т „=о

Из формулы (1.9) можно приводить к следующему выводу: для случайных последовательностей многочастотных неортогональных сигналов возможно представление энергетического спектра в виде суммы смещенных

18

2

2

энергетических спектров случайных последовательностей сигналов, которые передаются на соответствующем наборе поднесущих частот.

(и;-ш0)Г/27г

а)

(о)-ы0)Г/2тг б)

(О;~(х>0)7727Г

в)

Рис. 1.2. Иллюстрация энергетических спектров случайных последовательностей многочастотных неортогональных сигналов

Например, для сигнала, представленных на рис. 1.1, энергетический спектр будет определяться формой энергетического спектра сигналов, имеющих прямоугольную форму огибающей с длительностью Т. На рис. 1.2а-в приведены значения спектров для частотного разноса а=1; 0.8; и 0.6 соответственно.

На этих рисунках условно приведены модули спектров сигналов (1.2) на

каждой поднесущей частоте. По оси ординат отложено нормированное

значения модуля спектра сигналов с огибающей вида (1.5). С уменьшением

19

параметра а существенно сокращается занимаемая полоса частот. Из рассмотрения формы энергетических спектров видно, что при их наложении друг на друга возникает интерференция, которая приводи к повышению уровня коррелированности передаваемых на соседних поднесущих частотах сигналов. Рассмотрим ниже явление межсигнальной интерференции подробнее.

На рис. 1.3 представлены гистограммы распределений мгновенной мощности многочастотного неортогонального сигнала для разных значений количества поднесущих N и разного частотного разноса между поднесущими частотами. Вероятностные распределения мгновенной мощности показаны на рис. 1.4. Из этих графиков, можно сказать, что распределение мгновенной мощности не меняет свой вид при изменениях количества поднесущих и частотного разноса между поднесущими.

а = 0.5; N = 16

а = 0Л5; N = 16

а = 1; ЛГ= 16

р(0/тах(р(0) а = 0.5; N = 32

0.2 0.4 0.6 0.8

р(1)/тах{р(ф а = 0.5;ЛГ = 64

0.2 0.4 О-в 0.8

р(г)/тах(р(0)

0-2 0.4 0.8 0.8

р(1)/тах(р(1)) а = 0.75; N=32

02 0.4 0.8 о.а

р(1)/тах(р(1)) а = 0.75; ЛГ = 64

0.2 0.4 0.8 0.8

р(1)/тах(р(ф

0.2 0.4 0.6 О-в 1

р(/)/тах(р(/)) а = 1;ЛГ=32

0.2 0.4 0.6 0.8 1

/>(<)/тах(р(0) a = l•,N=M

0.2 0.4 0.6 0.8 1

р(1)/тах(р(ф

Рис. 1.3. Гистограммы распределений мгновенной мощности при количестве поднесущих N = 16, 32, 64 и частотном разносе а = 0.5; 0.75; 1.

№ О №

Ич

О -№

№ О -№

10 12

д (ав)

а = 0.5; N = 32

Д (ёВ) а = 0.5; N = 64

д (ав)

Рч

О 1«' №

Ни

О -№

Ни

а -№

Д (с!В) а = 0.75; N=32

Д(с1В) а = 0.15; N=64

д(ав)

О ш< №

10 12

а -№

10 12

д (<ш)

а = 1;ЛГ=32

Д (ёВ) а = 1; ЛГ= 64

д (ав)

Рис. 1.4. Вероятностные распределения мгновенной мощности при количестве поднесущих N = 16; 32; 64 и частотном разносе а = 0.5; 0.75; 1.

1.3. Характеристика межсимвольной интерференции многочастотных неортогональных сигналов

Поскольку сигналы с соседних поднесущих частот не являются ортогональными, возникают между поднесущими взаимные интерференции, вызванные влиянием сигналовдруг на друга. Такое влияние приводит к появлению дополнительной помехи во время демодуляции сигналов. Чтобы исследовать эту помеху, взаимная корреляция между поднесущими выводится для оценки утечки энергии между всеми поднесущими. Рассматриваем две произвольно поднесущие многочастотного неортогонального сигнала,

' 2лтаТ j 2лпа1

Похожие диссертационные работы по специальности «Радиотехника, в том числе системы и устройства телевидения», 05.12.04 шифр ВАК

Список литературы диссертационного исследования кандидат наук Нгуен Дак Кы, 2021 год

Список используемой литературы

1. Banelli P., Buzzi S., Colavolpe G., Modenini A., Rusek F., Ugolini A. Modulation formats and waveforms for 5G networks: Who will be the heir of OFDM?: An overview of alternative modulation schemes for improved spectral efficiency. IEEE Signal Processing Magazine. 2014, vol. 31, iss. 6, pp. 80-93. doi: 10.1109/MSP.2014.2337391

2. Makarov S. B., Rashich A. V. Method of forming spectral-effective OFDM signals based on non-orthogonal basis functions. Scientific and Technical Bulletin of the St Petersburg State Polytechnic University. Computer science. Telecommunications. Management. 2009, no. 2, pp. 94-98.

3. Darwazeh, I., Ghannam, H., Xu, T.: The first 15 years of SEFDM: A brief survey. 2018 11th International Symposium on Communication Systems, Networks & Digital Signal Processing (CSNDSP). IEEE, 2018. pp. 1-7. doi: 10.1109/CSNDSP.2018.8471886.

4. S. Isam and I. Darwazeh, "Characterizing the intercarrier interference of nonorthogonal Spectrally Efficient FDM system," 2012 8th International Symposium on Communication Systems, Networks & Digital Signal Processing (CSNDSP), 2012, pp. 1-5, doi: 10.1109/CSNDSP.2012.6292762.

5. Рашич А. В., Нгок Н. Т., Сальников В. А. Расчет пик-фактора многочастотных сигналов с ортогональным и неортогональным частотным уплотнением //Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Информатика, телекоммуникации и управление. - 2018. - Т. 11. - №. 3.

6. Макаров С.Б., Цикин И.А. Передача дискретных сообщений по радиоканалам с ограниченной полосой пропускания. М.: Радио и связь, 1988. 304 с.

7. H. Schulze and C. Luders, Theory and Applications of OFDM and CDMA. John Wiley & Sons Ltd, 2005.

8. Сенин А.Г. К задаче синтеза оптимального радиосигнала// Радиотехника. t.22,n7,1967-c.91-96.

9. W. Kozek and A. Molisch, "Nonorthogonal pulseshapes for multicarrier communications in doubly dispersive channels," IEEE Journal on Selected Areas in Communications, vol. 16, no. 8, pp. 1579-1589, 1998.

10. Yang, X., Ai, W., Shuai, T., Li, D.: A fast decoding algorithm for nonorthogonal frequency division multiplexing signals. In: International Conference on Communications and Networking in China (CHINACOM), pp. 595-598 (August 2007)

11. M. R. D. Rodrigues and I. Darwazeh, "A spectrally efficient frequency division multiplexing based communications system," in Proceedings of the 8th International OFDM Workshop, Hamburg, 2003.

12. Кислицын А.Б., Рашич А.В. Формирование и прием спектрально-эффективных сигналов с неортогональным частотным уплотнением на основе ДПФ. // Доклады 16-й Международной конференции «Цифровая обработка сигналов и ее применение - DSPA-2014»; Том-2. — М.: Издательский дом Медиа Паблишер, 2014, с. 664-667.

13. Макаров С. Б., Завьялов С. В. Повышение помехоустойчивости когерентного приема неортогональных многочастотных сигналов //Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Информатика. Телекоммуникации. Управление. 2014. №. 2 . pp. 45-54.

14. Zavjalov S. V., Makarov S. B., and Volvenko S. V. Nonlinear coherent detection algorithms of nonorthogonal multifrequency signals (International Conference on Next Generation Wired/Wireless Networking. Springer, Cham). 2014 August. pp. 703-713.

15. Скляр Б. Цифровая связь. Теоретические основы и практическое применение: Пер. с англ. - М.: Издательский дом "Вильямс", 2003.

16. W. Zou and Y. Wu, "COFDM: An overview," IEEE Transactions on

Broadcasting,vol. 41, no. 1, pp. 1-8, 1995.

108

17. Рашич А. В., Кислицын А. Б. Помехоустойчивость приема SEFDM-сигналов в канале с АБГШ //Научно-технические ведомости Санкт-Петербургского государственного политехнического университета. Информатика, телекоммуникации и управление. - 2016. - №. 2 (241).

18. C. Rapp "'Effects of HPA-nonlinearity on a 4-DPSK/OFDM signal for digital sound broadcasting system"' Proc. Second Euro. Satellite Commun., pp. 179184, Oct. 1991.

19. A. Pergushev, "The Analytical Model for Calculating Distortions in the Envelope Tracking Power Supply," 2019 IEEE International Conference on Electrical Engineering and Photonics (EExPolytech), St. Petersburg, Russia, 2019, pp. 72-75, doi: 10.1109/EExPolytech.2019.8906813.

20. P. P. Vizarreta, G. Montoro and P. L. Gilabert, "Hybrid Envelope Amplifier for envelope tracking power amplifier transmitters," 2012 42nd European Microwave Confer-ence, Amsterdam, 2012, pp. 128-131, doi: 10.23919/EuMC.2012.6459324.

21. A. Pergushev, V. Sorotsky and A. Ulanov, "Output Voltage PWM Conversion Inaccu-racies in Envelope Tracking Power Supply for High Peak-to-Average Power Ratio Ap-plications," 2019 IEEE International Conference on Electrical Engineering and Photon-ics (EExPolytech), St. Petersburg, Russia, 2019, pp. 912, doi: 10.1109/EExPolytech.2019.8906854.

22. R. I. Zudov, "Efficiency of a Class DE Power Amplifier for RF Signals with High Peak-to-Average Power Ratio," 2019 IEEE International Conference on Electrical Engineer-ing and Photonics (EExPolytech), St. Petersburg, Russia, 2019, pp. 28-30, doi: 10.1109/EExPolytech.2019.8906856.

23. A. Pergushev and V. Sorotsky, "Signal Distortion Decreasing in Envelope Tracking Power Amplifiers," 2018 IEEE International Conference on Electrical Engineering and Photonics (EExPolytech), St. Petersburg, 2018, pp. 44-47, doi: 10.1109/EExPolytech.2018.8564443.

24. A. Gelgor and V. P. Nguyen, "Outperforming Conventional OFDM and

SEFDM Signals by Means of Using Optimal Spectral Pulses and the M-BCJR

109

Algorithm," 2019 26th International Conference on Telecommunications (ICT), Hanoi, Vietnam, 2019, pp. 130-134, doi: 10.1109/ICT.2019.8798793.

25. E. O. Antonov, A. V. Rashich, D. K. Fadeev and N. Tan, "Reduced complexity tone reservation peak-to-average power ratio reduction algorithm for SEFDM signals," 2016 39th International Conference on Telecommunications and Signal Processing (TSP), Vienna, 2016, pp. 445-448, doi: 10.1109/TSP.2016.7760917.

26. A. S. Inamdar and A. P. Laturkar, "Implementation of PAPR Reduction method in OFDM with Clipping & Amplification," 2012 International Conference on Radar, Communication and Computing (ICRCC), Tiruvannamalai, 2012, pp. 289-292, doi: 10.1109/ICRCC.2012.6450597.

27. D. Karampatsis, "Modelling and performance assessment of OFDM and Fast-OFDM wireless communication systems," Ph.D. dissertation, University College London, August2004.

28. M. Niranjan and S. Srikanth, "Adaptive active constellation extension for PAPR reduction in OFDM systems," 2011 International Conference on Recent Trends in Information Technology (ICRTIT), Chennai, Tamil Nadu, 2011, pp. 11861189, doi: 10.1109/ICRTIT.2011.5972243.

29. R. K. Singh and M. Fidele, "An efficient PAPR reduction scheme for OFDM system using peak windowing and clipping," 2015 Third International Conference on Image Information Processing (ICIIP), Waknaghat, 2015, pp. 491-495, doi: 10.1109/ICIIP.2015.7414822.

30. G. Lee, Chia-Hsin Cheng and Ho-Lung Hung, "Selected mapping applied scheme for PAPR reduction in OFDM communication systems," The 6th International Conference on Networked Computing and Advanced Information Management, Seoul, 2010, pp. 752-755.

31. S. Shepherd, J. Orriss, S. Barton, "Asymptotic limits in peak envelope power reduction by redundant coding in orthogonal frequency-division, multiplex modulation," IEEE Transactions on communications, vol. 46, no. 1, January 1998.

32. Xiaodong Li and Cimini, L.J. Jr., "Effects of clipping and filtering on the performance of OFDM," IEEE Communications Letters, pp.131- 133, May 1998.

Литературы для задачи 3

33. Rashich, A., Kislitsyn, A., Fadeev, D. and Nguyen, T.N., 2016, December. FFT-based trellis receiver for SEFDM signals. In 2016 IEEE Global Communications Conference (GLOBECOM) (pp. 1-6). IEEE.

34. Kanaras, I., 2010. Spectrally efficient multicarrier communication systems: signal detection, mathematical modelling and optimisation (Doctoral dissertation, UCL (University College London)).

35. Kanaras Y, Chorti A, Rodrigues M, Darwazeh I, 2009 An overview of optimal and suboptimal detection techniques for a nonorthogonal spectrally efficient FDM (London, UK) LCS/NEMS

36. 5. Ahmed, S. and Darwazeh, I., 2011. Inverse discrete Fourier transform-discrete Fourier transform techniques for generating and receiving spectrally efficient frequency division multiplexing signals. American Journal of Engineering and Applied Sciences, 4, pp.598-606.

37. Гельгор А. Л., Горлов А. И., Нгуен В. Ф. Повышение эффективности сигналов SEFDM путём использования RRC-импульсов в качестве формы спектра поднесущих //DSPA: Вопросы применения цифровой обработки сигналов. 2017. Т7. №1. pp. 34-39.

38. Gelgor A., Gorlov A., Nguyen V. P. Performance analysis of SEFDM with optimal subcarriers spectrum shapes //2017 IEEE International Black Sea Conference on Communications and Networking (BlackSeaCom). IEEE, 2017. pp. 1-5, doi: 10.1109/BlackSeaCom.2017.8277680.

39. Кислицын А. Б., Рашич А. В. Формирование и прием спектрально -эффективных многочастотных сигналов с неортогональным частотным уплотнением на основе БПФ/ОБПФ уменьшенной размерности //Электромагнитные волны и электронные системы. 2014. Т.19. №7. pp. 46-53.

40. Kislitsyn A. B., Rashich A. V., Tan N. N. Generation of SEFDM-signals using FFT/IFFT //International Conference on Next Generation Wired/Wireless Networking. - Springer, Cham, 2014. pp. 488-501.

41. Isam S., Darwazeh I. Simple DSP-IDFT techniques for generating spectrally efficient FDM signals //2010 7th International Symposium on Communication Systems, Networks & Digital Signal Processing (CSNDSP 2010). - IEEE, 2010, pp. 20-24, doi: 10.1109/CSNDSP16145.2010.5580468.

42. S. I. A. Ahmed and I. Darwazeh, "IDFT based transmitters for spectrally efficient FDM system," in London Communication Symposium, Sep 2009. List of References 296

43. S. Isam and I. Darwazeh, "Simple DSP-IDFT techniques for generating spectrally efficient FDM signals," in IEEE, IET International Symposium on Communication Systems, Networks and Digital Signal Processing, Jul 2010, pp. 20 - 24.

44. S. Gorbunov and A. Rashich Spatial receive diversity for SEFDM based system // 2019 42nd International Conference on Telecommunications and Signal Processing (TSP), Budapest, 2019, pp. 1-4.

45. Рашич А.В., Горбунов С.В. Алгоритм приема многочастотных сигналов с пониженным уровнем внеполосных излучений // 19-я Международная конференция «Цифровая обработка сигналов и ее применение DSPA-2017». Доклады Выпуск: XIX; Том-1. - М.: 2017. - 272-277 с.

46. Дак Кы Нгуен, Овсянникова А.С., Завьялов С.В., Волвенко С.В., Смирнова Е.Н. Особенности использования SEFDM сигналов с оптимальной огибающей в условиях жесткого и мягкого ограничений на передающем устройстве//Радиотехника. 2020. Т. 84. № 12(24). С. 52-61. DOI: 10.18127/j00338486-202012(24)-05.

47. Nguyen D.C., Zavjalov S.V., Ovsyannikova A.S. The effectiveness of application of multi-frequency signals under conditions of amplitude limitation //Internet of Things, Smart Spaces, and Next Generation Networks and

Systems. - Springer, Cham, 2019. - pp. 681-687.

112

48. Nguyen D.C., Zavjalov S.V., Ovsyannikova A.S., Volvenko S.V., Smirnova E., Nguyen C.M. (2020) On Application of Hard-Clipping and Soft-Clipping for SEFDM Signal with Optimal Envelope. In: Galinina O., Andreev S., Balandin S., Koucheryavy Y. (eds) Internet of Things, Smart Spaces, and Next Generation Networks and Systems. NEW2AN 2020, ruSMART 2020. Lecture Notes in Computer Science, vol 12526. Springer, Cham. https://doi.org/10.1007/978-3-030-65729-1_16.

49. Nguyen D.C., Makarov S.B., Ovsyannikova A.S., Nguyen C.M. Iterative Algorithm with Feedback for Coherent Detection of Non-Orthogonal Spectrally Efficient Multi-Frequency Signals // Conference YETI-2021, April 22-23.

50. Макаров С.Б., Завьялов С.В., Нгуен Д.К., Овсянникова А.С. Когерентный прием неортогональных спектрально - эффективных многочастотных сигналов при использовании алгоритма с обратной связью по решению. // Изв. вузов России. Радиоэлектроника. 2021. Т. 24, № 4. С. xx-xx. doi: 10.32603/1993-8985-2021-24-4-xx-xx

51. Makarov S.B., Nguyen D.C., Zavjalov S.V., Ovsyannikova A.S., Nguyen C.M. The effect of error burst when using a decision feedback algorithm for receiving non-orthogonal multi-frequency signals // Internet of Things, Smart Spaces, and Next Generation Networks and Systems. NEW2AN 2021, ruSMART 2021., August 30-31.

Приложение 1

1.1. Программная реализация алгоритма приема с обратной связью по решению

%% Параметры сигнала SEFDM

N sub = 32; % Number of subcarriers with non-zero amplitudes Nsymbols = 1; % Number of generated symbols at a time Tu = 1; % Useful OFDM symbol period

%% Параметры моделирования EbNo_vect = 0:2:30 ;

BER_arr1 = EbNo_vect*0; % Без учета ICI BER arr2 = EbNo vect*0; % C Обратной связью N Average = 5e4;

for alpha = 0.4

delta f = alpha/Tu;

f_arr" = [100:delta_f:(100+(N_sub-1)*delta_f)]';

fs = 3*max(f arr); % sampling frequency

dt = [1/fs:l/fs:Tu]';

N = length(dt);

for EbNo = EbNo_vect

for k = 1 : N Average

disp(['EbNo = ' num2str(EbNo) ' k = ' num2str(k) ' / num2str(N Average)]);

%% Формирование SEFDM сигнала

x = randi([0 1], N_sub*Nsymbols,1);

x res = reshape(x,N sub,Nsymbols);

x mod = x res*2 - 1;

SEFDM_symbols = dt*0;

for i = 1:N sub

SEFDM_symbols = SEFDM_symbols + x mod(i).*cos(2*pi*f arr(i)*dt); end

Envelope = 1; % Прямоугольная огибающая sig tx = SEFDM symbols*Envelope;

%% Канал передачи

sig rx = awgn(sig tx, EbNo - 10*log10(fs/N sub) + 3, 'measured');

%% Прием SEFDM сигнала % Прием оценки в периоде [0,T] y = f arr*0; for i = 1:length(y)

y(i) = sum(sig rx.*cos(2*pi*f arr(i)*dt));

end

rec bit= (sign(sign(y) + 0.5) + 1)/2;

Error Prob tmp] = biterr(rec bit,x); BER_arr1(EbNo == EbNo_vect) = BER_arr1(EbNo == EbNo_vect) + Error Prob tmp;

% Прием с ОС y_FB

rec bit eval rec bit FB

= f_arr*0; = f_arr*0; = f arr*0;

for i = 1:length(rec bit)

y FB(i) = sum(sig rx.*cos(2*pi*f arr(i)*dt)); rec_bit_eval(i) = (sign(sign(y_FB(i)) + 0.5) + 1)/2; if i == 1

rec bit FB(i) = rec bit eval(i); elseif i == 2

sig rx FB = sig rx - (rec bit FB(i-1)*2 -

1).*cos(2*pi*f_arr(i-1)*dt); _ _ _

y FB(i) = sum(sig rx FB.*cos(2*pi*f arr(i)*dt)); rec_bit_FB(i) = (sign(sign(y_FB(i))_+ 0.5) + 1)/2; elseif %if i == 3 % for length of feedback = 2 sig rx FB = sig rx - (rec bit FB(i-1)*2 -

1).*cos(2*pi*f_arr(i-1)*dt) ... _ _ _

- (rec_bit_FB(i-2)*2 -

1).*cos(2*pi*f_arr(i-2)*dt);

y FB(i) = sum(sig rx FB.*cos(2*pi*f arr(i)*dt)); rec_bit_FB(i) = (sign(sign(y_FB(i))_+ 0.5) + 1)/2; elseif i == 4 % For length of feedback = 3

sig rx FB = sig rx - (rec bit FB(i-1)*2 -

1).*cos(2*pi*f_arr(i-1)*dt)... _ _ _

- (rec_bit_FB(i-2)*2 -

1).*cos(2*pi*f_arr(i-2)*dt)...

- (rec_bit_FB(i-3)*2 -

1).*cos(2*pi*f_arr(i-3)*dt);

y FB(i) = sum(sig rx FB.*cos(2*pi*f arr(i)*dt)); rec_bit_FB(i) = (sign(sign(y_FB(i))_+ 0.5) + 1)/2; else % For length of feedback = 4

sig rx FB = sig rx - (rec bit FB(i-1)*2 -

1).*cos(2*pi*f_arr(i-1)*dt)...

- (rec_bit_FB(i-2)*2 -

1).*cos(2*pi*f_arr(i-2)*dt)...

- (rec_bit_FB(i-3)*2 -

1).*cos(2*pi*f_arr(i-3)*dt)...

- (rec_bit_FB(i-4)*2 -

1).*cos(2*pi*f_arr(i-4)*dt);

y FB(i) = sum(sig rx FB.*cos(2*pi*f arr(i)*dt)); rec_bit_FB(i) = (sign(sign(y_FB(i))_+ 0.5) + 1)/2;

end

end

Error Prob tmp] = biterr(rec bit FB,x); BER_arr2(EbNo == EbNo_vect) = BER_arr2(EbNo == EbNo_vect) + Error Prob tmp; end

end

BER_arr1 = BER_arr1/N_Average; BER_arr2 = BER_arr2/N_Average;

end

1.2. Цифровая реализация алгоритма приема с обратной связью по

решению

%% Параметры сигнала SEFDM M = 2; N used N_sub N symbols Tu = 1; alpha vect

% BPSK

1020; % Number of subcarriers with non-zero amplitudes 1024; %

10; % Number of generated symbols at a time

% Useful OFDM symbol period = 0.5;%: 0.05 : 0.4;

%% Параметры моделирования EbNo_vect = -20:1:20;

BER arr1 = zeros(size(alpha vect,2),size(EbNo vect,2)); % Алгоритм L-Point FFT/IFFT WITHOUT FB

BER arr2 = zeros(size(alpha vect,2),size(EbNo vect,2)); % Алгоритм L-Point FFT/IFFT WITH FB _ _

N Average = 1e3;

N guard left = ceil((N sub - N used)/2); % Защитный интервал слева N guard right = floor((N sub - N used)/2);% Защитный интервал справа K expans = 2;

for alpha = alpha vect

N nulls = N sub - ceil(N sub*alpha); % Количество нулей,

которыми надо дополнить символ во времени Calc coef;

for EbNo = EbNo_vect

for k = 1 : N Average

disp(['alpha = ' num2str(alpha) '; EbNo = ' num2str(EbNo) '; k = ' num2str(k) ' / ' num2str(N Average)]);

%% Формирование SEFDM сигнала x = randi([0 1], N used*N symbols,1);

x res = reshape(x,N used,N symbols); x mod = x res*2 - 1;

x mod full = [zeros(N guard left,N symbols); x mod;

zeros(N guard right,N symbols)];

OFDM_samples = ifft(ifftshift(x_mod_full)); SEFDM_samples = OFDM_samples(1:ceil(N_sub*alpha),:);

sig_tx = SEFDM_samples;

%% Канал передачи sig rx = awgn(sig tx, EbNo + 10*log10(N_used/(ceil(N_sub*alpha)/log2(M))) , 'measured');

%% Прием SEFDM сигнала

sig rx in = [sig rx; zeros(N nulls,size(sig rx,2))]; %

Добиваем символы нулями во времени

% По алгоритму L-Point FFT/IFFT without FB rx sam fft = fftshift(fft(sig rx in,N sub)); N zeros = (N sub - N used)/2;

rx_freq_samples =

real(rx sam fft(N zeros+1:N zeros+N used,:));

rec bit = (sign(sign(rx freq samples) + 0.5) + 1)/2;

rec bit = rec bit(:);

[~, Error Prob tmp] = biterr(rec bit,x); BER_arr1(alpha == alpha_vect, EbNo == EbNo_vect) ...

= BER_arr1(alpha == alpha_vect, EbNo == EbNo_vect) +

Error Prob tmp;

% Прием с ОС

rx sam fft = real(rx sam fft); rx sam fft fb = rx sam fft*0; for i = 2:N sub % ICI cancelation

if i == 2

rx sam fft fb(i,:) = (rx sam fft(i,:) - rx sam fft fb(i-

else%if i == 3 % for length of feedback = 2

rx sam fft fb(i,:) = (rx sam fft(i,:) - rx sam fft fb(i-

1,:)*K1); 1,:)*K1 ...

1,:)*K1 ...

1,:)*K1 ...

- rx_sam_fft_fb(i-2,:)*K2); elseif i == 4 % for length of feedback = 3

rx sam fft fb(i,:) = (rx sam fft(i,:) - rx sam fft fb(i-

- rx_sam_fft_fb(i-2,:)*K2...

- rx_sam_fft_fb(i-3,:)*K3); else % for length of feedback = 4

rx sam fft fb(i,:) = (rx sam fft(i,:) - rx sam fft fb(i-

- rx sam fft fb(i-2,

- rx sam fft fb(i-3,

- rx sam fft fb(i-4,

)*K2 ... )*K3 ... )*K4);

end

end

rx freq samples fb =

real(rx sam fft fb(N zeros+1:N zeros+N used,:));

rec bit fb = (sign(sign(rx freq samples fb) + 0.5) + 1)/2;

rec bit fb = rec bit fb(:);

Error Prob tmp] = biterr(rec bit fb,x); BER_arr2(alpha == alpha_vect, EbNo == EbNo_vect) ...

= BER_arr2(alpha == alpha_vect, EbNo == EbNo_vect) +

Error Prob tmp;

end

end

end

BER_arr1 = BER_arr1/N_Average; BER_arr2 = BER_arr2/N_Average;

Приложение 2

Программная реализация определения оптимального значения снижения пик-фактора

%% Параметры сигнала SEFDM

Nused = 16; % Number of subcarriers with non-zero amplitudes

Nsymbols = 1; % Number of generated symbols at a time (Correct in funtion

,Calculate_BER.m')

Tu = 1; % Useful OFDM symbol period

fc = 100; % Несущая частота

%% Параметры моделирования

EbNo = 10; % Для огиб вида синус = 10 или 12 // прямоугольной огиб = 7

или 10

N_Average = 10;%480*100;%000;%00;%80*1000; delta PAPR vect = 0:1:10;

BER arr HC1 = zeros(1, length(delta PAPR vect)); о о 0. , 8 HC

BER arr HC2 = zeros(1, length(delta "PAPR vect)); о о 0. , 9 HC

BER arr HC3 = zeros(1, length(delta PAPR vect)); о о 1. , 0 HC

BER arr SC1 = zeros(1, length(delta PAPR vect)); о о 0. , 8 SC

BER arr SC2 = zeros(1, length(delta PAPR vect)); о о 0. , 9 SC

BER arr SC3 = zeros(1, length(delta "papr vect)); о о 1. , 0 SC

envelope type = 1; algorithm type = 1;

Вид огибающей: 1-прямоугольной; 2-Синус; Алгоритм приема: 1 - Поэлеметый; 2 - с ОС

%% Hard-Cliping clipping type

= 1;

Метод ограничения: 1 - Жесткий; 2 - Мягкий

for i Average = 1 : N Average clc;

disp(['Hard-Clipping: N = ' num2str(i Average) '/' num2str(N Average)]); for delta_PAPR = delta_PAPR_vect alpha = 0.8;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_HC1(delta_PAPR == delta_PAPR_vect) = BER_arr_HC1(delta_PAPR == delta_PAPR_vect) + BER_PAPR;

alpha = 0.9;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_HC2(delta_PAPR == delta_PAPR_vect) = BER_arr_HC2(delta_PAPR == delta_PAPR_vect) + BER_PAPR;

alpha = 1.0;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_HC3(delta_PAPR == delta_PAPR_vect) = BER_arr_HC3(delta_PAPR == delta_PAPR_vect) + BER_PAPR;

end

end

%% Soft-Cliping

clipping type = 2; % Метод ограничения: 1 - Жесткий; 2 - Мягкий

for i Average = 1 : N Average clc;

disp(['Hard-Clipping: N = ' num2str(N Average) '/' num2str(N Average)]); disp(['Soft-Clipping: N = ' num2str(i Average) '/' num2str(N Average)]); for delta_PAPR = delta_PAPR_vect alpha = 0.8;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_SC1(delta_PAPR == delta_PAPR_vect) = BER_arr_SC1(delta_PAPR == delta_PAPR_vect) + BER_PAPR;

alpha = 0.9;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_SC2(delta_PAPR == delta_PAPR_vect) = BER_arr_SC2(delta_PAPR == delta_PAPR_vect) + BER_PAPR;

alpha = 1.0;

[BER_PAPR] = Calculate_BER( Nused, alpha, fc, Tu, EbNo, delta_PAPR,...

envelope type, clipping type,

algorithm type);

BER_arr_SC3(delta_PAPR == delta_PAPR_vect) = BER_arr_SC3(delta_PAPR == delta_PAPR_vect) + BER_PAPR; end

end

BER_arr_HC1 = BER_arr_HC1/N_Average; BER_arr_HC2 = BER_arr_HC2/N_Average; BER_arr_HC3 = BER_arr_HC3/N_Average; BER_arr_SC1 = BER_arr_SC1/N_Average; BER_arr_SC2 = BER_arr_SC2/N_Average; BER arr SC3 = BER arr SC3/N Average;

function [sig out] = Hard Clipping(sig in, delta PAPR) if delta_PAPR_== 0 _ _ _

sig out = sig in;

else

Energy = sum(abs(sig in).A2);

PAPR_in = 10*log10(max((abs(sig_in)).A2)/mean((abs(sig_in)).A2)); sig in Amp = max(abs(sig in)); for_amp = 1.01 : 0.01 : 10 sig in2 = sig in*amp;

ind = find(abs(sig in2) > sig in Amp);

sig in2(ind) = sign(sig in2(ind))*sig in Amp;

PAPR_out = 10*log10(max(Tabs(sig_in2))TA2)/mean((abs(sig_in2)).A2)); if (PAPR_in - PAPR_out) > delta_PAPR break

end

end

%%%%% ЖЕСКОЕ ОГРАНИЧЕНИЕ %%%%%

sig out = sig in2.*sqrt(Energy/sum(abs(sig in).A2));

end

%%%%% МЯГКОЕ ОГРАНИЧЕНИЕ %%%%%

function [sig out] = Soft Clipping(sig in, delta PAPR) if delta_PAPR_== 0 _ _ _

sig out = sig in;

else

Energy = sum(abs(sig in).A2);

PAPR_in = 10*log10(max((abs(sig_in)).A2)/mean((abs(sig_in)).A2)); sig in Amp = max(abs(sig in)); pk = 2;

A0 = sig in Amp;

for vk = 0.01 : 0.01 : 5 pt = vk*sig in;

sig_in2 = pt./((1 + (pt/A0).A(2*pk)).A(1/(2*pk)));

PAPR_out = 10*log10(max((abs(sig_in2)).A2)/mean((abs(sig_in2)).A2)); if (PAPR_in - PAPR_out) > (delta_PAPR) break

end

end

sig out = sig in2.*sqrt(Energy/sum(abs(sig in).A2));

end

'J-'J-'J-'J-'^- i"1 7\ T ("'TTT 7\ гр IT1 ТЭТГО 'J-'J-'J-'J-'^-ooooo CALCULATE DEK ooooo

function [BEK_PAPK] =...

Calculate BEK(

Nused,... alpha,... fc,... Tu,... EbNo, ... delta_PAPK, ... % envelope type, ... clipping type, ... algorithm) % 1 -

Number of subcarriers коэффициент частотного уплотнения Несущая частота Useful OFDM symbol period Отношение С/Ш

% Значение снижения пик-фактора % Огибающий

% 1 - Жесткий; 2 - Мягкий Поэлеметый ; 2 - с ОС

delta f = alpha/Tu;

f_arr_= [fc:delta_f:(fc+(Nused-1)*delta_f)]'; fs = 10*max(f arr); % sampling frequency

dt = 1/fs; t = [dt:dt:Tu]'; Nsymbols = 1;

%% Create Envelope if envelope type == 1

Envelope = 1; else envelope type == 2

Envelope = sin(pi*t);

end

%% Формирование SEFDM сигнала x = randi([0 1], N_sub,1);

x mod = x*2 - 1; SEFDM_symbols = t*0; for i = 1:N sub

SEFDM_symbols = SEFDM_symbols + x_mod(i).*cos(2*pi*f_arr(i)*t);

end

%% Добавление огибающей

sig tx = SEFDM symbols.*Envelope;

%% Амплитудное ограничение if clipping type == 1

[sig_tx_PAPR] = Hard_Clipping(sig_tx, delta_PAPR);

else

[sig_tx_PAPR] = Soft_Clipping(sig_tx, delta_PAPR);

end

%% Канал связи T1 = Tu;

t1 = [0 + dt/2 : dt : T1 - dt/2]'; f1 = (max(f arr)-min(f arr))/2; tmp signal = cos(2*pi*f1*t1).*Envelope; Eb = sum(tmp signal.A2)*dt; % Энергия бита SNR = EbNo;

noise = sqrt(0.5*fs*Eb/(10A(SNR/10)))*randn(size(sig_tx, 1), size(sig_tx, 2));

%% Процесс приема и расчета вероятности ошибок sig rx = sig tx + noise; sig_rx_PAPR = sig_tx_PAPR + noise;

if algorithm == 1

%%%%% Прием поэлементный

y = f arr*0;

for i = 1:length(y)

y(i) = sum(sig rx PAPR.*cos(2*pi*f arr(i)*t));

end

rec bit= (sign(sign(y) + 0.5) + 1)/2;

Error Prob tmp] = biterr(rec bit,x); BER_PAPR = Error_Prob_tmp;

else

%%%%% Прием с обратной связью Ck = zeros(Nused,1); for i = 1:Nused

Ck1 = Ck(1:i); Ck1(i) = 0; Ck2 = Ck(1:i); Ck2(i) = 1; S1_t = t*0; S2_t = t*0; for k = 1 : i

S1_t = S1_t + (Ck1(k)*2 - 1).*cos(2*pi*f_arr(k)*t); S2_t = S2_t + (Ck2(k)*2 - 1).*cos(2*pi*f_arr(k)*t);

end

if sum((S1_t-sig_rx_PAPR).A2) <= sum((S2_t-sig_rx_PAPR).A2) Ck(i) = 0;

else

Ck(i) = 1;

end

end

rec bit= Ck;

Error Prob tmp] = biterr(rec bit,x); BER_PAPR = Error_Prob_tmp;

end

Приложение 3 Акт внедрения

УТВЕРЖДАЮ

Генерал

АКТ

о реализации результатов диссертационной работы Нгуеи Дак Кы «Повышение помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике»

Результаты диссертационной работы Нгуен Дак Кы на тему «Повышение помехоустойчивости приема многочастотных неортогональных сигналов при наличии безынерционного амплитудного ограничения в радиопередатчике» использованы при разработке высокоскоростных модемов, применяемых для передачи видеоинформации в беспроводных телекоммуникационных системах. В частности, использованы следующие результаты:

• Итеративный алгоритм когерентного поэлементного приема с обратной связью по решению, предназначенный для демодуляции многочастотных неортогональных сигналов при условии существенной интерференции между сигналами, передаваемыми на поднесущих частотах.

Результаты внедрены в процессе выполнения работы по повышению помехозащищенности выпускаемых предприятием сетевых камер наружного наблюдения, выполненной в ООО «ЭВС» в 2021 году.

Начальник лаборатории №3

М.Н.Голушко

«29» сентября 2021 г.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.