Повышение тестопригодности цифровых электронных модулей бортовых систем управления тема диссертации и автореферата по ВАК РФ 05.13.05, кандидат наук Бутько Алексей Дмитриевич

  • Бутько Алексей Дмитриевич
  • кандидат науккандидат наук
  • 2021, ФГАОУ ВО «Самарский национальный исследовательский университет имени академика С.П. Королева»
  • Специальность ВАК РФ05.13.05
  • Количество страниц 152
Бутько Алексей Дмитриевич. Повышение тестопригодности цифровых электронных модулей бортовых систем управления: дис. кандидат наук: 05.13.05 - Элементы и устройства вычислительной техники и систем управления. ФГАОУ ВО «Самарский национальный исследовательский университет имени академика С.П. Королева». 2021. 152 с.

Оглавление диссертации кандидат наук Бутько Алексей Дмитриевич

ВВЕДЕНИЕ

1 ОБЗОР МЕТОДОВ ДИАГНОСТИРОВАНИЯ ЭЛЕКТРОННЫХ МОДУЛЕЙ БОРТОВЫХ СИСТЕМ УПРАВЛЕНИЯ

1.2 Метод оптической инспекции

1.3 Автоматическая рентгеновская инспекция

1.4 Метод внутрисхемного контроля

1.5 Метод граничного сканирования

1.6 HALT/HASS диагностика

Предельно ускоренные стрессовые исследования (HASS)

1.7 Традиционный алгоритм процесса проектирования и диагностики электронных модулей

1.8 Направление совершенствования метода граничного сканирования

1.9 Разработка алгоритма тестопригодного проектирования со сквозным

использованием метода граничного сканирования

ВЫВОДЫ ПО ГЛАВЕ

2 ПРИМЕНЕНИЯ МЕТОДА ГРАНИЧНОГО СКАНИРОВАНИЯ ДЛЯ

ДИАГНОСТИКИ МЕЖКОМПОНЕНТНЫХ КОРОТКИХ ЗАМЫКАНИЙ И УСТРОЙСТВ С ЭЛЕМЕНТАМИ РАЗЛИЧНОЙ ФИЗИЧЕСКОЙ ПРИРОДЫ

2.1 Принципы локализации дефектов короткого замыкания выводов микросхем, параллельно подключенных к цифровой шине передачи данных

2.1.1 Общие замечания

2.1.2 Методика диагностики коротких замыканий под одной из трех параллельно подключенных микросхем

2.1.3 Методика диагностики коротких замыканий под двумя из трех параллельно подключенных микросхем

2.1.4 Методика диагностики коротких замыканий под тремя из трех параллельно подключенных микросхем

2.2 Устройство локализации дефектов короткого замыкания выводов микросхем, параллельно подключенных к цифровой шине передачи данных

2.3 Принципы применения метода граничного сканирования в электромеханических измерительных преобразователях (на примере оптоэлектронных цифровых преобразователей угла)

2.3.1 Диагностика ОЦПУ на уровне информационных каналов

2.3.2 Диагностика ОЦПУ на уровне функциональных элементов

ВЫВОДЫ ПО ГЛАВЕ

3 НОВЫЕ ВОЗМОЖНОСТИ ПРИМЕНЕНИЯ МЕТОДА ГРАНИЧНОГО СКАНИРОВАНИЯ ДЛЯ ДИАГНОСТИКИ ВЫСОКОИНТЕГРИРОВАННЫХ ЭМ

3.1 Проблемы тестирования связей с кластерами электронных модулей

3.2 Тестирование кластерных микросхем приёмопередатчиков

3.3 Тестирование высокочастотных кластерных микросхем низкочастотным оборудованием

3.4 Тестирование линий связи кластерных микросхем стимуляцией по цепи питания

3.5 Тестирование контактов питания BGA микросхем

3.6 Применение разработанных методик повышения надежности ЦЭМ БСУ

ВЫВОДЫ ПО ГЛАВЕ

4 ЭКСПЕРИМЕНТАЛЬНЫЕ ИССЛЕДОВАНИЯ РАЗРАБОТАННЫХ

КОНСТРУКТИВНЫХ, СХЕМОТЕХНИЧЕСКИХ И ПРОГРАММНЫХ СРЕДСТВ

4.1 Экспериментальные исследования возможностей диагностики латентных дефектов с использованием средств граничного сканирования

4.2 Исследование мерцающих дефектов типа «короткое замыкание» и «обрыв

контакта»

4.2 Экспериментальные обоснование схемотехнических приемов коррекции принципиальной схемы модуля самолета super jet

4.2.1 Печатный модуль тестирования кластерных микросхем

4.2.2 Разработка топологии экспериментальных печатных плат

4.3 Локализация дефектов короткого замыкания выводов микросхем параллельно

подключённых к одной информационной шине

4.4 Рекомендации по реализации алгоритма локализации дефектов типа «КЗ»

ВЫВОДЫ ПО ГЛАВЕ

ЗАКЛЮЧЕНИЕ И ВЫВОДЫ ПО РЕЗУЛЬТАТАМ РАБОТЫ

СПИСОК СОКРАЩЕНИЙ

СПИСОК ЛИТЕРАТУРЫ

ПРИЛОЖЕНИЕ А

ПРИЛОЖЕНИЕ Б

Рекомендованный список диссертаций по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Повышение тестопригодности цифровых электронных модулей бортовых систем управления»

ВВЕДЕНИЕ

Актуальность. Одной из проблем при создании авиационных бортовых систем управления (БСУ) является обеспечение надежности их электронных модулей и блоков. В составе цифровых электронных модулей (ЦЭМ) бортовых систем управления используются многослойные печатные платы, на которых установлены интегральные микросхемы (ИМС) высокой степени интеграции. С целью уменьшения габаритов ЦЭМ во многих разработках используются микросхемы в корпусах типа BGA (Ball grid array), к контактам которых после распайки на плате отсутствует физический доступ. Это делает невозможным поиск и локализацию дефектов, возникающих в процессе производства и эксплуатации, с помощью штатных электроизмерительных устройств. При этом количество выводов одной микросхемы может достигать нескольких тысяч. Особую сложность при этом вызывает выявление скрытых (латентных) дефектов, которые могут привести к внезапным отказам оборудования в процессе эксплуатации. В связи с этим важное значение приобретает задача повышения тестопригодности ЦЭМ. Тестопригодность - степень доступности устройства для тестовых воздействий, осуществляемых с целью установления соответствия реального технического состояния устройства предъявляемым к нему требованиям.

В настоящее время эта задача решается различными аппаратно -программными и техническими средствами, основанными на применении таких методов, как функциональный и внутрисхемный контроль, оптическая инспекция, рентгеновская томография.

Однако указанные методы обладают рядом существенных недостатков, таких как недостаточная глубина контроля (функциональные тесты), высокая стоимость оборудования (внутрисхемный контроль), невозможность тестирования в реальных условиях эксплуатации. В связи с этим ведущие мировые производители авиационной электроники проявляют повышенный интерес к технологиям граничного сканирования (ГС), которые реализуются на основе JTAG -интерфейса, который встраивается в цифровые микросхемы в соответствии с стандартом IEEE1149.1. Указанные технологии позволяют реализовать

оперативный бесконтактный поиск, локализацию, идентификацию и визуализацию дефектов как на вновь разрабатываемых принципиальных схемах, так и на собранных печатных платах.

Среди ведущих мировых фирм, разрабатывающих программно-аппаратные комплексы граничного сканирования, можно выделить шестерых ведущих производителей: «CORELIS Inc.», «ASSET Inter Tech Inc.» (США), «GOEPEL Electronic» (Германия), «ON TAP», «START-STAR» (Израиль), «JTAG Technologies» (Нидерланды), National Instrument (США).

Вопросы разработки методов диагностики и контроля электронных блоков систем управления нашли отражение в трудах таких учёных, как А. В. Иванов, А. И. Городецкий, Л. Д. Курилан, Р. И Грушвицкий, Е П. Угрюмов, И. М. Ильин, Г. И. Чхутиашвили. При этом следует выделить труды И. Г. Коршенбойма, П. Эйндена, Р. Р. Убара, А. Ютмана и ряда других.

Проведенный анализ схемотехнических и конструктивных решений цифровых электронных модулей и блоков БСУ отечественных самолетов SuperJet 100, показал, что их разработка базировалась, в основном на традиционных эристических подходах и не учитывала возможностей повышения надежности, контроля и диагностики функционирования изделий за счет использовании современных средств ГС, имеющихся в составе применяемой элементной базы. Кроме того, выявлен ряд ограничений существующих аппаратно - программных средств ГС в части диагностики латентных дефектов, локализации дефектов монтажа ИМС в BGA корпусах, включенных по схеме «горячего» резервирования, поэлементной диагностики функциональных модулей БСУ, основанных на комплексном использовании различных физических принципов. Решение указанных задач не нашло отражения в известных публикациях и практических разработках, что существенно снижает возможности повышения надежности ЦЭМ.

На основании изложенного можно заключить, что тема диссертационной работы, связанная с разработкой, теоретическим обоснованием и внедрением новых схемотехнических приемов диагностики электронных модулей в сочетании с аппаратно - программными средствами граничного сканирования и направленная

на повышение надежности бортовых систем управления является актуальной, имеющей важное значение для науки и практики.

Область исследований - цифровые электронные модули блоков бортовых систем управления.

Объект исследований - методы и технические средства повышения уровня тестопригодности ЦЭМ самолета SuperJet 100 на основе аппаратно-программных средств граничного сканирования.

Цель работы - разработка, теоретическое и экспериментальное обоснование схемотехнических приемов, алгоритмов и программных средств повышения тестопригодности высокоинтегрированных цифровых электронных модулей на основе метода ГС, обеспечивающих повышение надежности БСУ.

Для достижения поставленной цели в работе необходимо было решить следующие задачи:

- провести обзор и сравнительный анализ существующих методов проектирования и производственной диагностики электронных блоков БСУ;

- разработать обобщенный алгоритм тестопригодного проектирования и производственной диагностики цифровых электронных бортовых систем управления на основе сквозного применения метода граничного сканирования;

- разработать конструкцию и методику многоуровневой диагностики оптоэлектронного цифрового преобразователя угла с использованием метода граничного сканирования;

- разработать способ и конструкцию микропроцессорного устройства дефектов короткого замыкания ИМС в BGA корпусах, включенных по схеме «горячего» резервирования;

-- разработать схемотехнические и программные средства обеспечения диагностической доступности компонентов электронных модулей с нестандартными уровнями логических сигналов и рабочими частотами, в 5-6 раз превышающими максимальную рабочую частоту диагностического оборудования;

- провести экспериментальные исследования по выявлению возможности локализации мерцающих дефектов в процессе вибрационных испытаний

Научной новизной обладают следующие результаты диссертации:

- алгоритм создания ЦЭМ бортовых систем управления, предусматривающий сквозное использование метода граничного сканирования на этапах разработки их принципиальной схемы, конструкции и диагностики функционирования.

- методика локализации дефектов короткого замыкания выводов микросхем, подключенных к цифровой шине передачи данных по схеме двукратного резервирования, основанная использовании разработанных таблиц управления состояниями JTAG ячеек каждой из трех параллельно включенных микросхем и контроле значений токов в линиях связи между узловыми точками для семи вариантов дефектов короткого замыкания.

- методика двухуровневой диагностики оптоэлектронного цифрового преобразователя угла с использованием управляющих цепей граничного сканирования, обеспечивающая контроль работоспособности устройства как на уровне каналов формирования значений разрядов выходного кода, так и на уровне отдельных функциональных элементов принципиальной схемы.

-впервые экспериментально доказана возможность выявления с помощью аппаратно-программных средств граничного сканирования «мерцающих» дефектов электронных модулей в процессе вибрационных испытаний изделий; показано, что в диапазоне частот вибрации 0...1кГц вероятность обнаружения дефектов равна единице уже после выполнения трех циклов тестирования. Определены зависимости между числом циклов тестирования и вероятностью обнаружения дефектов

Материалы диссертации являются обобщением результатов НИОКР, выполненных при непосредственном участии автора по заказу АО «УКБП», г. Ульяновск в период с 2013 по 2018 г.

В качестве базового средства ГС для проведения экспериментов в работе использовался аппаратно- программный комплекс «JTAG Provision».

Методы исследований. При решении поставленных задач использованы методы интегрального и дифференциального исчисления, Булевой алгебры, теории погрешностей. В процессе моделирования и проведения численных экспериментов

на ПК использовались пакеты программ MathCad, Altium Designer, JTAG Provision, WorkBench, «Компас 3D».

Достоверность полученных теоретических результатов и выводов подтверждена результатами численного моделирования и экспериментальных исследований макетных образцов ЦЭМ, разработанных на основе ИМС в BGA корпусе с использованием предложенных в работе схемотехнических, конструктивных и программных средств увеличения тестового покрытия, поиска и локализации производственных дефектов базирующегося на сквозном применении методик граничного сканирования.

Реализация результатов работы. Разработанные в диссертационной работе методика тестопригодного проектирования и разработки ЦЭМ бортовых систем управления, предусматривающая использование метода граничного сканирования на этапах разработки принципиальной схемы, конструкции и производственной диагностики внедрены в АО «УКБП» в виде:

-унифицированного стенда для производственной диагностики электронных модулей МВД-10, МПР-15, МВФ-5 самолета SuperJet 100,

- предложений к стандарту предприятия по разработке ЦЭМ, введенных в действие на предприятии в виде руководящего материала РМ-103 2016 «Тестопригодное проектирование и диагностика электронных модулей на основе метода граничного сканирования с использованием JTAG - интерфейса»

- модернизированной электрической принципиальной схемы и топологии печатной платы электронного модуля МВФ-5.

- в учебном процессе Самарского университета по дисциплине «Автоматизированные средства контроля и управления электронных средств».

Практическая значимость результатов. Разработанные на основе метода граничного сканирования методики, алгоритмы и математические модели и технические средства позволяют обеспечить повышение надежности электронных модулей бортовых систем управления за счет увеличения глубины контроля и диагностики, а также значительно сократить экономические затраты и время на поиск и локализацию производственных дефектов.

На защиту выносятся:

- алгоритм создания ЦЭМ бортовых систем управления, предусматривающий сквозное использование метода граничного сканирования на этапах разработки их принципиальной схемы, конструкции и диагностики функционирования;

- методика локализации дефектов короткого замыкания выводов микросхем, подключенных к цифровой шине передачи данных по схеме двукратного резервирования, основанная на использовании разработанных таблиц управления состояниями 1ТЛО ячеек каждой из трех параллельно включенных микросхем и контроле значений токов в линиях связи между узловыми точками для пяти вариантов дефектов короткого замыкания;

- методика двухуровневой диагностики оптоэлектронного цифрового преобразователя угла, обеспечивающая контроль работоспособности устройства как на уровне каналов формирования значений разрядов выходного кода, так и на уровне отдельных функциональных элементов принципиальной схемы;

- схемотехнические и программные средства обеспечения диагностической доступности компонентов электронных модулей с нестандартными уровнями логических сигналов и рабочими частотами, в 5-6 раз превышающими максимальную рабочую частоту диагностического оборудования;

- результаты экспериментальных исследований по выявлению с помощью аппаратно-программных средств граничного сканирования «мерцающих» дефектов электронных модулей в процессе вибрационных испытаний изделий, а также зависимости между числом циклов тестирования и вероятностью обнаружения дефектов в диапазоне частот вибрации 0... 1кГц.

- результаты разработки стендов и методик экспериментального обнаружения дефектов короткого замыкания с помощью специализированных электромагнитных датчиков и тепловизионных средств, а также тестирования высокочастотных микросхем с помощью более дешевых низкочастотных средств граничного сканирования.

Работа соответствует п.4 паспорта научной специальности 05.13.05 «Разработка научных подходов, методов, алгоритмов и программ,

обеспечивающих надежность, контроль и диагностику функционирования элементов и устройств вычислительной техники и систем управления».

Апробация материалов диссертации проводилась на следующих научно -технических конференциях:

- Первая всероссийская конференция пользователей систем JTAG Technologies, Санкт - Петербург, 2015г.;

- IV Всероссийской научно-технической конференции «Актуальные проблемы ракетно-космической техники» (IV Козловские чтения), Самара, 2015г.;

- Международный симпозиум «Надежность и качество 2016», Пенза, 2016г.;

- I Международная научно-техническая конференция «Проблемы получения, обработки и передачи измерительной информации», Уфа, 2017г.

Публикации. Результаты диссертации опубликованы в 11 научных работах в том числе 4 - в изданиях, рекомендованных ВАК РФ. По результатам исследований получено 4 патента.

1 ОБЗОР МЕТОДОВ ДИАГНОСТИРОВАНИЯ ЭЛЕКТРОННЫХ МОДУЛЕЙ

БОРТОВЫХ СИСТЕМ УПРАВЛЕНИЯ

Общая классификация диагностирования электронных модулей бортовых систем управления

В настоящее время большинство тестовых алгоритмов при производстве современных РЭС имеют структуру, представленную на рисунке 1.

Рисунок 1 - Типичный тестовый алгоритм тестирования РЭС После сборки изделия выполняется его визуальный контроль методами оптической инспекции. В течение последних лет в промышленности используются альтернативные методы структурного тестирования в целях восполнить недостающее тестовое покрытие и преодолеть трудности, связанные с появлением сложных плат с поверхностным монтажом компонентов.[1] Существуют две альтернативные технологии тестирования: рентгеновский контроль, способный распознавать дефекты пайки, и автоматическая оптическая инспекция (АОИ), цель которой - определение наличия, отсутствия или смещения элементов, а также, в некоторых случаях, проверка типа некоторых пассивных компонентов. В целом все производственные дефекты можно разделить на группы (рисунок 2).

■ Короткие замыкания И Обрывы

Н Пропуски компонентов Неправильные компоненты

■ Полярность

■ Корпбпение

■ Точность установки Ошибки принтера

■ Несоблюдение техпроцесса

Рисунок 2 - График распределение типичных производственных дефектов

Рассмотрим более детально основные способы контроля изготовления РЭС, а также их преимущества и недостатки.

1.2 Метод оптической инспекции

Автоматическая оптическая инспекция (АОИ) - операция автоматического контроля качества изделий с помощью установок, осуществляющих съемку изделия и анализ полученного изображения. Как правило, установки АОИ выполняют поиск дефектов на основе облучения. Получение изображения осуществляется камерой или сканером. Установки АОИ применяются для контроля несобранных печатных плат и поиска дефектов после сборки печатных узлов. Поскольку большая часть дефектов сборки в технологии поверхностного монтажа обусловлена ошибками нанесения паяльной пасты, также получили широкое распространение системы АОИ для контроля паяльной пасты после трафаретной печати. В зависимости от установки, определение дефекта может осуществляться на основе плоского или трехмерного изображения. Второй вариант позволяет более точно определять такие параметры, как высота отпечатка пасты и количество припоя в паяном соединении. Автоматическая оптическая инспекция помогает обнаружить статус и причину таких дефектов как: пропущенный компонент, недостаточное количество припоя, избыточное количество припоя,

сдвинутый компонент (рисунок 3), эффект «могильного камня», перемычки, полярность, поднятый вывод и т.д. [2]

Рисунок 3 - Технологический дефект

В совокупности с техническими решениями, простым программированием и управлением, описанная инспекция, представляет собой мощный промышленный ресурс, направленный на улучшение качества выпускаемой продукции. Выделим основные обнаруживаемые дефекты:

- замыкания дорожек;

- обрывы;

- нарушения геометрии дорожек;

- царапины, вырезы и отверстия в дорожках;

- выступы.

1.3 Автоматическая рентгеновская инспекция

За последние годы несколько видов автоматической инспекции приобрели популярность, включая технологию рентгеновского контроля, которая позволяет «заглянуть» в недоступные области. Существует довольно широкий выбор рентгеновского оборудования: от простых систем с ручным управлением по цене, примерно, $40.000 до полностью автоматизированных, стоимостью более $500.000.[2,3]

Рисунок 4 - Принцип рентгеновской инспекции

Рентгеновские лучи генерируются при помощи катодной трубки и пропускаются через бериллиевую пластинку в трубке, размещенной в камере, где находится исследуемый образец (рисунок 4). Одна часть лучей поглощается компонентом, а другая проходит сквозь него в зависимости от плотности материала.

По принципу своей работы рентгеновские установки разделяются на двумерные (2D), обеспечивающие вид в плоскости (X, У), и трехмерные (3D), где добавляется ось 7. Полутоновые изображения, получаемые в результате рентгеновской инспекции, показывают, как различается плотность или толщина на различных участках исследуемого образца (рисунок 5).

Если требуется полная инспекция паяных соединений, тогда лучше всего выбирать полностью автоматизированную рентгеновскую установку, которая обеспечивает гораздо более высокую достоверность результатов. При этом достаточно всего один раз правильно установить критерии прохождения теста. Автоматические системы также используются в условиях больших объемов производства и невысокого ассортимента продукции, а также в ситуациях, когда продукция достаточно дорогостоящая или требует инспекции ввиду особой области ее применения.

им

»ft%

Рисунок 5 - Рентгеновское изображение замыкания между выводами

Новейшая технология - рентгенотомография, изначально разработанная компанией FourPye и принадлежащая ныне компании Agilent Technologies, позволяет получать трехмерные изображения посредством техники послойного сканирования. Такие системы могут инспектировать как односторонние, так и двухсторонние сборки, включая площадь и высоту паек, обеспечивая долговечность соединений [4].

Ввиду того, что рентген - относительно медленный метод тестирования, а также требует больших капиталовложений, чаще всего он используется для проверки отдельных партий изделий и в местах, где наиболее часто встречаются дефекты пайки. Кроме того, анализ полученных результатов должен быть индивидуальным и требующим хорошей подготовки и опыта.

На рисунке 6 показаны области пересечения эффективности внутрисхемного теста, оптической инспекции, рентгеновского контроля и периферийного сканирования при тестировании наиболее типичных для современной электроники конструкций.

Рисунок 6 - Методы тестирования различных ошибок РЭС 1.4 Метод внутрисхемного контроля

Внутрисхемное тестирование[3] (In-circuit Test, ICT) широко используется в производстве электроники для поиска дефектов монтажа печатных плат (ПП). Традиционно для этой цели применяются тестеры ICT с игольчатыми адаптерами, использующие контактные площадки на ПП для обнаружения коротких замыканий, обрывов, а также измерения емкостных, резистивных и других характеристик. [1,3,4,5]

Такой метод, как внутрисхемный тест и тестирование при помощи установок с летающими щупами (flying probe) до сих пор широко распространены (рисунок 7). Во внутрисхемных тестерах (In-Circuit Testers) [8]обычно для каждой платы используется отдельная оснастка, содержащая огромное количество тестовых

пробников (игл). Через такие пробники подается электрическое воздействие на элементы схемы, а затем снимается отклик.

Принцип работы систем flying probe также основывается на физическом контакте с тестовыми точками на плате. [2] В зависимости от модели установки число тестовых пробников может колебаться от 4 до 24. Производя измерения, щупы двигаются с огромной скоростью, позволяя производить тестирование без применения адаптера.

Рисунок 7 - Пример внутрисхемного тестирования Сложность плат и плотность монтажа увеличивается с каждым годом, поэтому внутрисхемное тестирование и системы flying probe теряют свои позиции из-за дефицита тестовых точек на современных изделиях. Это и повлекло за собой появление периферийного сканирования - самой передовой из методик тестирования. Однако отдельные части современных устройств до сих пор очень хорошо приспособлены для классических методов - ICT и flying probe. Например, аналоговая часть комплексной аналого-цифровой платы. В таких случаях при выборе тестовой стратегии можно рассмотреть применение комбинированной системы Symphony, в которой для тестирования цифровой части платы используются инструменты JTAG Technologies, интегрированные во внутрисхемный или функциональный тестер. В результате получается полноценная тестовая стратегия с высоким охватом как аналоговой, так и цифровой части. Внутрисхемное тестирование идеально подходило для DIP-компонентов и технологии штыревого монтажа. Но в связи с появлением многослойных печатных плат (ПП) и более сложных корпусов микросхем, таких как QFP, BGA, CSP и т.д., тестовый доступ стал сильно ограничен. [5] При тестировании многослойных печатных плат стал невозможным доступ к

некоторым контактам, которые, в принципе, можно было не видеть на плате, в ситуации, когда соединение ножки вывода ИМС выходило под самой ИМС и уходило на другой слой платы. По мере возрастания плотности монтажа ПП и уменьшения как их размеров, так и размеров компонентов, доступ к контактным площадкам на поверхности ПП становится все более затрудненным из-за простого недостатка места, а иногда и вообще невозможным, поскольку контакт иголки с площадкой может привести к повреждению ПП. [76-79]

1.5 Метод граничного сканирования

Электронная индустрия предвидела указанные выше проблемы заранее и для их решения впервые в 1985 г. фирмой Philips был предложен метод граничного (периферийного сканирования), в последствие реализованный в виде стандарта IEEE1149.1. Целью создания данного стандарта было преодоление недостатков других методов тестирования.

Метод граничного (периферийного) сканирования (ГС) или Boundary Scan (BS) был разработан благодаря совместным усилиям рабочей группы разработчиков Joint Test Action Group (Объединенная рабочая группа по автоматизации тестирования) получившей аббревиатуру JTAG, созданной организацией IEEE (Instituteof Electricaland Electronics Engineers - Институт инженеров по электротехнике и радиоэлектронике). [6]

В дальнейшем, специалистами JTAG были разработаны:

- первая версия международного стандарта IEEE 1149.1, описывающего основы архитектуры граничного сканирования, которая вышла в свет в начале 1990 г. как результат усилий созданной для этой цели международной группы экспертов;

- другие стандарты семейства IEEE 1149.x;

- порт тестового доступа Test Access Port (TAP);

- архитектура граничного сканирования Boundary-Scan Architrcture (BSA).

Встроенные в интегральную микросхему порт тестового доступа TAP,

система специальных регистров, которой управляет TAP и совокупность сигналов,

их логических и электрических взаимосвязей и конструкторская реализация получили название JTAG интерфейс.

Таким образом JTAG - специализированный аппаратный интерфейс, разработанный для тестирования собранных печатных плат (с использованием стандарта IEEE 1149.1). Из-за широкой функциональности JTAG стал повсеместно использоваться как для структурной диагностики плат РЭС, так и для их отладки и программирования. [7]

Корни современных возможностей JTAG интерфейса уходят в «седую» историю, когда в начале 90 годов прошлого века инженеры компаний Texas Instruments (TI) и ARM осознали возможность «проникновения» с помощью интерфейса JTAG в отладочные регистры, а корпорация Intel, «экспериментируя» с программируемой логикой, «достигла» с помощью интерфейса JTAG конфигурационных регистров.

Таким образом, приблизительно через три года после анонса спецификации IEEE 1149.1 интерфейс JTAG стал использоваться для отладки микроконтроллеров, микропроцессоров и внутрисистемного программирования. Сегодня упоминание о наличии интерфейса JTAG можно все чаще найти в описаниях современных микропроцессоров для встроенных приложений.

Через 10 лет после принятия первого стандарта в семействе IEEE 1149.х согласно отчету Electronic Trend Publications на рынке таких комплексов сформировалась группа лидеров.

Производители программно-аппаратных комплексов граничного сканирования

Ведущие производители оборудования и услуг для реализации технологии граничного сканирования по стандарту IEEE 1149.х на начало 21 века.[8,9]

1.6 HALT/HASS диагностика

Предельно ускоренные ресурсные испытания (HALT) - представляют собой совокупность стрессовых воздействии, таких как предельная температура и ударная стрессовая вибрация [10]. Суть HALT - многократные мультиосевые

квазислучайные вибрационные воздействия по всем осям координат (иначе говоря, колебания в шести степенях свободы). HALT впервые были разработаны авиакомпанией «Хьюз Эйркрафт» в 1970-х годах для изделий, эксплуатирующихся в экстремальных условиях[56]. В этом же источнике отмечается, философия HALT базируется на понятии STRIFE (нагрузка плюс работоспособность), введенном Хьюлетт-Паккард, в 1969 году В тоже время [56]отмечается, что первопроходцем (80-десятые годы) в этой области является компания Qualmark - разработчик испытательного оборудования для HALT/HASS испытаний. Название технологии HALT было придумано Грегом Хоббсом (Gregg Hobbs) в 1988[23] В тоже время на роль мирового лидера в области производства аналогичного оборудования претендует американская компания Thermotron Industries). Их эффективность была подтверждена на практике. Они стимулируют усталость материалов, которая, в свою очередь, вызывает повреждения. Последние могут быть проанализированы, идентифицированы и устранены. При этом оценка времени проявления дефектов остается неизвестной.[11]

Похожие диссертационные работы по специальности «Элементы и устройства вычислительной техники и систем управления», 05.13.05 шифр ВАК

Список литературы диссертационного исследования кандидат наук Бутько Алексей Дмитриевич, 2021 год

СПИСОК ЛИТЕРАТУРЫ

1. Разработка методов и аппаратно - программных средств диагностирования печатных плат, содержащих интегральные микросхемы с JTAG-интерфейсом: отчет о НИР (промежуточный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2012. - 91с.

2. Разработка методов и аппаратно- программных средств диагностирования печатных плат, содержащих интегральные микросхемы с JTAG-интерфейсом: отчет о НИР (промежуточный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2013. - 110с.

3. Разработка методов и аппаратно- программных средств диагностирования печатных плат, содержащих интегральные микросхемы с JTAG-интерфейсом: отчет о НИР (заключительный) / СГАУ; руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2013. - 130с.

4. Совершенствование методов разработки, проектирования и диагностики электронных модулей бортовых систем управления: отчет о НИР (промежуточный) / СГАУ; руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2014. - 28с.

5. Совершенствование методов разработки, проектирования и диагностики электронных модулей бортовых систем управления: отчет о НИР (промежуточный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2014. - 68с.

6. . Стандарт граничного сканирования IEEE 1149.1 [электронный ресурс]. Режим доступа http://standards.ieee.org/findstds/standard/1149.1-2001.html/ (дата обращения 1.12.2015).

7. Совершенствование методов разработки, проектирования и диагностики электронных модулей бортовых систем управления: отчет о НИР (промежуточный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2014. - 31с.

8. Совершенствование методов разработки, проектирования и диагностики электронных модулей бортовых систем управления: отчет о НИР (промежуточный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2015. - 67с.

9. Совершенствование методов разработки, проектирования и диагностики электронных модулей бортовых систем управления: отчет о НИР (заключительный) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: СГАУ, 2015. - 130с.

10. Повышение надежности электронных модулей на основе комплексного применения технологий HALT/HASS и аппаратно-программных средств граничного сканирования: отчет о НИР (итоговый) / руководитель: В.М. Гречишников; ответственный исполнитель: А.А. Курицкий. - Самара: Самарский университет, 2018. - 123с

11. Hobbs G.K. Accelerated Reliability Engineering: HALT & HASS, Hobbs Engineering, 2005. 229 P.

12. Hobbs, G. K. HALT & HASS the accepted quality and reliability paradigm / G. K. Hobbs. - Colorado: Hobbs Engineering, 2008. - 229p.

13. Методы и оборудование для выявления дефектов изделий [Электронный ресурс]. Режим доступа http://www.astena.ru/vibro.html (Дата обращения 14. 12. 2017).

14. Методы ускоренных испытаний http://helpiks.org/2-110740.html [Электронный ресурс]. Режим доступа (Дата обращения 18. 12. 2017).

15. ОСТ 4.012.013-84. Аппаратура радиоэлектронная. Определение показателей долговечности.

16. ГОСТ В 23743-88. изделия авиационной техники. Номенклатура показателей безопасности полета, надежности, контроллепригодности, эксплуатационной и ремонтной технологичности.

17. Насонов А.Н. Тестирование электроники: принципы и подходы / А.Н. Насонов//Производство электроники.-2012. - №4.- С.43-45.

18. Иванов, А.В. Новые возможности тестирования при помощи периферийного сканирования /А.В. Иванов // Записки тестового инженера. Избранные статьи об электрическом контроле. - 2013. - № 14.- С. 18-21.

19. Стэнбридж, Дж. Успехи и персперктивы граничного вканирования / Дж. Стэнбридж// Электронные компоненты. - 2013. - №1.- С.22-26.

20. Городецкий, А.В. Тестопригодное проектирование схем для граничного сканирования / А.В. Городецкий, Л.В. Курилан // М.: Производство электроники. -2008.- № 24. с - 25-26.

21. Городецкий, А.В. Введение во внутрисхемное тестирование / А.В. Городецкий, Л.В. Курилан // М.:Производство электроники.-2010.- № 5. с - 18-19.

22. Платунов, А.Е. Механизмы граничного сканирования в не однородных микропроцессорных системах / А.Е. Платунов, Н.П. Постников, А.Г. Чистяков //М.:СЫрКе^^. -2000. - № 3. с - 45-48.

23. Рустинов, В. Разделяй и властвуй — принцип граничного сканирования / В.В. Рустинов//М.:ChipNews. -2001. - № 12. с - 5-8.

24. Паркер К. П., Демилл Д. Стратегия автоматизированного проектирования шариковых пробников для внутрисхемного тестирования //Санта-Клара, Калифорния: Материалы международной метрологической конференции. 2007. С.142.

25. Каршенбойм, И.М.Виртуальные кнопки и светодиоды, или Неизвестное обо всем известном JTAG сканировании / И.М. Каршенбойм//Компоненты и технологии. -2011.- № 3. с - 45-46.

26. Иванов, А.В.Два направления JTAG технологий / А.В. Иванов// М.:Электронные компоненты. -2008. - № 7. с - 52-57.

27. Грушвицкий, Р. И. Проектирование систем на микросхемах с программируемой логики / Р.И. Грушвицкий, А.Х. Мурсаев, Е.П. Угрюмов; СПб.: БХВ-Петербург, 2002. 341.с.

28. Иванов, А. Ключевые моменты тестопригодной разработки / А.В. Иванов//М.:Электронные компоненты. -2010. - № 18. с- 4-8.

29. Иванов, А. Новые возможности тестирования плат при помощи периферийного сканирования / А.В. Иванов//М.: Компоненты и технологии. -2010.25-31.- № 6.

30. Иванов, А. Два направления JTAGTechnologies / А.В. Иванов// М.: Производство электроники. -2010. - № 3. с - 45-48.

31. Иванов, А.В. Новые возможности тестирования плат при помощи периферийного сканирования // Компоненты и технологии. - 2010. - №5. - С.196-198.

32. Городецкий, А. А. Системы поддержки граничного сканирования Provision и onTAP / А. А Городецкий, Л. В. Курилан // Производство электроники. - 2008 - №3. - С.63-67.

33. Городецкий, А. А. Введение во внутрисхемное тестирование / А. А Городецкий, Л. В. Курилан // Производство электроники. - 2010. - №2. - С.1-5.

34. Коротаев В. В., Прокофьев А.В., Тимофеев А. Н. Оптико - электронные измерительные преобразователи линейных и угловых перемещений. СПб.: НИИ ИТМО, 2012. - 116 с.

35. Толстых Г.Н., Шаров Ю.Л., Кряхтунов В. С. Оптико - электронный преобразователь угла: Пат. 611109 (СССР). 1978.

36. В. Г. Домрачев, Б. С. Мейко. Цифровые преобразователи угла: принципы построения, теория точности, методы контроля. М.: Энергоатомиздат, 1984. - 328 с.

37. Бинарные волоконно-оптические преобразователи в системах управления и контроля / Зеленский, В.А. В. М. Гречишников // Изд-во СНЦ РАН. -2006.

38. Пат. 2017138316 (РФ) МПК H03M 1/26 (2006.01) Оптоэлектронный цифровой преобразователь угла / Гречишников В. М., Бутько А. Д., Теряева О. В.; заявитель и патентообладатель Самарский университет. - опубл 18.04.2017, Бюл №11 -8с.

39. В. М. Гречишников, Н. Е. Конюхов. Оптоэлектронные цифровые датчики перемещений со встроенными волоконно-оптическими линиями связи. М.: Энергоатомиздат, 1992. - 160 с.

40. Гречишников, В.М Схемотехника волоконно-оптических устройств -Самара: Изд-во СГАУ. - 2012.

41. Пат. RU 180963 Ш МПК Н03М 1/00 (2006.01) Оптоэлектронный цифровой преобразователь угла / Гречишников В. М., Бутько А. Д., Теряева О. В.; заявитель и патентообладатель Самарский университет. - опубл 02.07.2018, Бюл №19 -5с.

42. В. М. Гречишников, А.Д. Бутько, А. Ю. Лавров. Разработка кластерного теста для электронного модуля с JTAG интерфейсом - Самара: Научный журнал «Известия Самарского научного центра РАН» 2015г, Т. 17, № 62, С361-364.

43. Методы и оборудование для выявления дефектов изделий [Электронный ресурс]. Режим доступа http://www.astena.ru/vibro.html (Дата обращения 14. 12. 2017).

44. Бараночников, М.Л. Микромагнитоэлектроника (в 2-х томах) / М.Л. Бараночников. - М.: ДМК-Пресс, 2001. -Т.1 - 373 с.; Т.2 - 691 с.

45. Клаассен, К.Б. Основы измерений. Электронные методы и приборы в измерительной технике / К.Б. Клаассен. - М.: Постмаркет, 2000. - 352с.

46. Лысенко, А.П. Теоретические основы электротехники. : Учеб. пособие для электротехнических и энергетических специальностей вузов. / А. Е. Каплянский, Л. С. Полотовский. - М.: Высшая школа, - 1972. - 448с.

47. Клюев, В.В. Неразрушающий контроль / В.В. Клюев - М.: Машиностроение, 2004. - 354с.

48. Гусев А., Лидский Э., Мироненко О. Малые выборки при оценке работоспособности и надежности электронных компонентов. Часть 1 // ChipNews. 2002. N 1. С. 52-26.

49. Г. С. Седых В. П. Савченко Н. И. Сидняев модели и методы оценки остаточного ресурса изделий радиоэлектроники 2015г

50. Stojadinovic N. D. Failure physics of integrated circuits-а review // Microelectron. Reliab. 1983. Vol. 23. N 4. P. 609-707.

51. Stojadinovic N. D., Ristic S. D. Failure physics of integrated circuits and relationship to reliability // Phys. Stat. Sol. (a). 1983. Vol. 75. P. 11-47.

52. Wurnik F„ Pelloth W. Zuver lassigkeit von integrierton schaltungenll nachrichtennische zehschrift//1984. VoL 37. Nll.S. 710-712,714-716.

53. Wurnik F., Pelltoth W. Functional Bum-In for integrated circuits // Microelectron. Reliab. 1990. Vol. 30. N 2. P. 265-274.

54. Ванцов С. В. Надежность входного контроля / Медведев А. Н. // Надежность и качество сложных систем. № 4 (12), 2015. С. 91 - 100.

55. Иванов, А В. Ключевые моменты тестопригодной разработки / А.В. Иванов // Электронные компоненты. - 2010. - № 18. С. 4-8.

56. Кострикина И. А. Применение ускоренных испытаний для исследования метрологической надежности информационно - измерительных систем / Ишков А.С., Галкина Е.Н. Надежность и качество сложных систем. №2 3(7), 2014, С. 67-73

57. Гречишников В. М. Многофункциональные пакеты программ граничного сканирования / А. Д. Бутько // XII королевские чтения - 2013. - Том 2. С. 116-117.

58. Юрков Н. К. Особенности разработки макромоделей надежности сложных электронных систем / Н. К. Юрков А. В. Затылкин, С. Н. Полесский, И. (и др.) // Труды Международного симпозиума Надежность и качество. - 2014. - Т. 1. - С. 101-102.

59. Мунир У Аналоговые КМОП ключи. Руководство по выбору. Часть 2. / У. Мунир, Д. Кэнни //ЭЛЕКТРОНИКА наука / технология / бизнес. - 2013 - №3 (00125), - С. 76-84.

60. Волоконно-оптические датчики. Вводный курс для инженеров и научных работников / под ред. Э. Удда; пер. с англ. - М.: Техносфера, 2008. - 520 с.

61. Атабеков, Г.И. Основы теории цепей: учебник для ВУЗов / Г.И. Атабеков - М.: Энергия, - 1969. - 424с.

62. Мироновский Л.А. Функциональное диагностирование динамических систем / Л.А. Мироновский - СПб.: МГУ, - 1998. - 256с.

63. Порфирьев Л.Ф. Основы теории преобразования сигналов в оптико-электронных системах / Л.Ф. Порфирьев. - Л.: Машиностроение, - 1989. - 387 с.

64. Преснухин, Л.Н. Фотоэлектрические преобразователи информации / Л.Н. Преснухин. - М.: Машиностроение, - 1974. - 376 с.

65. Преснухин Л.Н. Расчет элементов цифровых устройств / Л.Н. Преснухин, Н.В. Воробьев, А.А. Шишкевич. - М.: Высшая школа, - 1991. - 526 с.

66. Соколов А.Н. Волоконно-оптические датчики и системы: принципы построения, возможности и перспективы / А.Н. Соколов, В.А. Яцеев // Lightwave. -2006. - №4. - С. 42-44

67. Парвулюсов Ю.Б. Проектирование оптико-электронных приборов / Ю.Б. Парвулюсов, В.П. Солдатов, Ю.Г. Якушенков.- М.: Машиностроение, - 1990.

- 432 с

68. Смирнов К.К. Организация прослеживаемости предметов труда при проведении функционального контроля СБИС / К.К. Смирнов, Е.Н Ефимов. // Труды НИИСИ РАН. - 2014. - Т. 4. № 1. - С. 40-44.

69. J. Hudec. Methodology of functional test synthesis and verification for VLSI systems/ J. Hudec // TI 2000. Proceedings of the 22nd International Conference on Information Technology Interfaces (Cat. No.00EX411). - 2000, - pp. 61-66.

70. Смирнов К.К., Среда для подготовки программ функционального контроля / К.К. Смирнов, М.Д. Бубнова // Труды НИИСИ РАН. - 2014. - Т.4. № 1.

- С. 32-39.

71. ГОСТ 25315-82. Контроль неразрушающий электрический. Термины и определения. - Введ. 1983-07-01. - М. : Издательство стандартов, 2005. - 8 с.

72. ГОСТ 27.002-2015. Надёжность техники. Основные понятия. Термины и определения. - Введ. 2017-03-01. - М. : Стандартинформ, 2016. - 28 с.

73. ГОСТ 32106-2013. Контроль состояния и диагностика машин. Мониторинг состояния оборудования опасных производств. Вибрация

центробежных насосных и компрессорных агрегатов. - Введ. 2014-11-01. - М.: Стандартинформ, 2014. - 8 с.

74. Клюев В. В. Глобализация технической диагностики и неразрушающего контроля / В. В. Клюев // Контроль. Диагностика. - 2004. - № 8.

- С. 3 -6

75. Пархоменко П. П. Основы технической диагностики / П. П. Пархоменко, Е. С. Сагомонян // М.: Энергоиздат. - 1981. - 308 с.

76. Неразрушающий контроль: справочник: в 8 т. Т. 1: в 2 кн. Кн. 1: Визуальный и измерительный контроль ; кн. 2 : Радиационный контроль. - 2-е изд., перераб. и испр. - М: Машиностроение. - 2008. - 559 с.

77. Неразрушающий контроль: справочник: в 7 т. Т. 2: в 2 кн. Кн. 1: Контроль герметичности; кн. 2: Вихретоковый контроль. - М. : Машиностроение.

- 2006. - 687 с.

78. Сафарбаков А. М. Основы технической диагностики: учеб. пособие / А. М. Сафарбаков, А. В. Лукьянов, С. В. Пахомов // Иркутск: ИрГУПС. - 2006. - 216 с

79. Стецюк А. Е. Основы технической диагностики. Теория распознавания: учеб. пособие / А. Е. Стецюк, Я. Ю. Бобровников // Хабаровск: Изд-во ДВГУПС. -2012. - 69 с

80. Хадыкин, А. М. Показатели надёжности радиоэлектронных средств: учеб. пособие / А. М. Хадыкин, Н. В. Рубан. - Омск : Изд-во ОмГТУ, 2015. - 77 с

81. Спиридонов И. Б. Управление контролепригодностью авиационных систем на стадии проектирования/ И. Б. Спиридонов // 13-я Международная конференция «Авиация и космонавтика — 2014» - М.: - 2014. - С. 82-83.

82. Викторова В. С. Взаимосвязь показателей надежности, контролепригодности, технического обслуживания / В. С. Викторова, Н. В. Лубков,

A. С. Степанянц // 2-ая Всероссийская научно-техническая конференция «Моделирование авиационных систем». - М.: ГосНИИАС. - 2013. - С. 20-21.

83. Викторова В. С. Универсальная модель данных контролепригодности /

B. С. Викторова, И. Б. Спиридонов // М.: ИПУ РАН. - 2015. 32 с.

84. Влах, И. Машинные методы анализа и проектирования электронных схем / И Влах, К. Сингхал. М.: Радио и связь. - 1988. - 560 с

85. Ланцов В. Н. Современные подходы к проектированию и тестированию интегральных микросхем / В. Н. Ланцов, С. Г. Мосин // Изд-во Владим. гос. ун-та

- 2010. - 285 с.

86. Мосин С. Г. Подсистема САПР тестопригодного проектирования аналоговых схем / С. Г. Мосин // Изв. вузов. Электроника. - 2002. - № 3. - С. 67 - 73

87. Hoffmann C. A New Design Flow and Testability Measure for the Generation of a Structural Test and BIST for Analog and Mixed-Signal Circuits / C. Hoffmann // Proc. Design, Automation and Test in Europe Conference. - 2003 - pp. 197

- 204

88. Будадин О. Н. Тепловой неразрушающий контроль изделий / О. Н. Будадин // М.: Наука - 2002. - 472 с.

89. Петрунин В. В. Метод бесконтактной диагностики радиоэлектронной техники / В. В. Петрунин, В. А. Трусов // Известия высших учебных заведений. Поволжский регион. Технические науки. - 2006. - № 4. - С. 256-261.

90. Петрунин В. В. Метод бесконтактной диагностики радиоэлектронной техники / В. В. Петрунин, В. А. Трусов // Известия высших учебных заведений. Поволжский регион. Технические науки. - 2006. - № 4. - С. 256-261.

91. Маликов, И. М. Надежность судовой электронной аппаратуры и систем автоматического управления /И. М. Маликов // Л.: Судостроение. - 1967. - 316с.

92. Кузьмин В. В. Интеллектуальные технологии диагностики оборудования промышленных предприятий / В. В. Кузьмин, Д. С. Косов, А. Л. Новиков, А. В. Иващенко // Труды Междунар. симп. Надежность и качество. - 2015.

- Т. 2. - С. 28-29.

93. Тюрин С. Ф. Анализ методов обеспечения пассивной отказоустойчивости цифровых устройств и систем / С. Ф. Тюрин, О. А. Громов, А. А. Сулейманов, А. В. Греков // Вестник Поволжского государственного технологического университета. - 2011. - № 5. - С. 144 - 153.

94. Денисенко, В. В. Аппаратное резервирование в промышленной автоматизации / В. В. Денисенко // Современные технологии автоматизации. -2008. - № 2. - С. 90-99.

95. Коптев, А. Н. Монтаж, контроль и испытания электротехнического оборудования летательных аппаратов / А. Н. Коптев, А. А. Миненков, Б. Н. Марьин, Ю. Л. Иванов. //М.: Машиностроение. - 1998. - 296 с.

96. Шайхутдинов Д. В. Методы мониторинга и диагностики сложных технических систем на базе имитационного моделирования / Д. В. Шайхутдинов // Современные наукоемкие технологии. - 2018. - № 11, ч. 1. -С. 146-153

97. Северцев, Н. А. Методы и модели создания автоматизированных средств контроля для повышения безопасности функционирования технических систем / Н. А. Северцев, А. В. Бецков, А. Н. Дарьина // Надежность и качество сложных систем. - 2019. - № 2 (26). - С. 19-26.

98. Биргер, И. А. Техническая диагностика / И. А. Биргер // М.: Машиностроение. - 1978. - 240 с.

99. Клячкин, В. Н. Диагностика технического состояния аппаратуры с использованием агрегированных классификаторов / В. Н. Клячкин, Ю. Е. Кувайскова, Д. А. Жуков // Радиотехника. - 2018. - № 6. - С. 46-49

100. Гольдман Р. С. Техническая диагностика цифровых устройств / Р.С. Гольдман, В.П. Чипулис // М.: Энергия. - 1976. - 224с.

101. Дубов А. В. Автоматизация технического диагностирования аналоговых устройств / А. В. Дубов // Молодой ученый. — 2010. — № 5 (16). — Т. 1. — С. 47-51.

102. Карибский В. В. Анализ систем для контроля работоспособности и диагностики неисправностей / В. В. Карибский //Автоматика и телемеханика. -1965. - №2. - С. 308-314.

103. Городецкий А. А. ЛЛО-тестирование кластеров / А. Городецкий // Компоненты и технологии. - 2010. - № 1 - С38-39.

104. Данилова Е.А. Информационно-измерительная система обнаружения дефектов печатных плат / Е.А. Данилова, И.И. Кочегаров, Н.К. Юрков // Вестник Пензенского государственного университета. - 2017. - № 3 (19). - С. 61-65.

105. Герасимов, О.Н. Способ организации производственного контроля и диагностики РЭС с заданным уровнем остаточного ресурса / О.Н. Герасимов, А.В. Затылкин, Н.К. Юрков // Надежность и качество сложных систем. - 2016. - № 1 (13) . - С. 94-98.

106. Юрков Н.К. Моделирование развития разрушений проводников печатных плат как последствий технологических дефектов / Н.К. Юрков, А.М. Телегин, М.П. Калаев // Молодой ученый. - 2015. - № 21 (101). - С. 230-233.

107. Юрков Н.К. Комплексный подход к выявлению латентных технологических дефектов печатных плат на этапах производства РЭС / Н.К. Юрков, А.М. Телегин, М.П. Калаев // Молодой ученый. - 2015. - № 21 (101). - С. 233-237.

ПРИЛОЖЕНИЕ А

Рисунок А1 - Принципиальная схема компьютеризированного устройства выявления и локализации производственных дефектов

Рисунок А2 - Сборочный чертеж печатной платы компьютеризированного устройства выявления и локализации производственных дефектов

Рисунок А3 - Печатная плата компьютеризированного устройства выявления и

локализации производственных дефектов

Рисунок А4 - Сборочный чертеж компьютеризированного устройства выявления

и локализации производственных дефектов

Текст программного обеспечения, разработанного на языке PYTHON, с комментариями представлен ниже:

ПРОГРАММА КОММЕНТАРИИ

# Write your Imports here. Присваивание выводов ИМС, from jft import* подключенных к линиям from jftsettings import* связи (ЛС) шины с дефектами from jftinput import* КЗ внутренним переменным from jftoutput import* Cij, соответствующие JTAG from time import* ячейкам

# Write your Program here. микросхем Dxx.yy..

#Write Numbers IC and Numbers Pins, attached to i - строка списка,

lines of BUS соответствующая по-

DeclareVars(( рядковому номеру ЛС в шине

("C11","Dxx.yy"),("C12","Dxx.yy"),("C13","Dxx. с дефектом КЗ;

yy"),("C14","Dxx.yy"),("C15","Dxx.yy"),("C16"," j - номер столбца в списке,

Dxx.yy"),("C17","Dxx.yy"), соответствующий

("C21","Dxx.yy"),("C22","Dxx.yy"),("C23","Dxx. порядковому номеру ИМС в

yy"),("C24","Dxx.yy"),("C25","Dxx.yy"),("C26"," шине с дефектами КЗ

Dxx.yy"),("C27","Dxx.yy"), Dxx,yy -ИМС, подключенные

("C3r,"Dxx.yy"),("C32","Dxx.yy"),("C23","Dxx. к шине:

yy"),("C34","Dxx.yy"),("C35","Dxx.yy"),("C36"," xx - номер ИМС в схеме

Dxx.yy"),("C37","Dxx.yy"), модуля;

("C41","Dxx.yy"),("C42","Dxx.yy"),("C43","Dxx. yy - вывод ИМС,

yy"),("C44","Dxx.yy"),("C45","Dxx.yy"),("C46"," подключенный к шине.

Dxx.yy"),("C47","Dxx.yy"), В программе выбрано число

("C51","Dxx.yy"),("C52","Dxx.yy"),("C53","Dxx. ИМС i=7 , подключенные кj=

yy"),("C54","Dxx.yy"),("C55","Dxx.yy"),("C56"," 10 ЛС с дефектами КЗ.

Dxx.yy"),("C57","Dxx.yy"), Двумерный список

внутренних переменных -

("С6Г,"Вхх.уу"),("С62","Вхх.уу"),("С63","Бхх. ХГАО ячеек, участвующих в уу"),("С64","Вхх.уу"),("С65","Бхх.уу"),("С66"," тестировании шины. Вхх.уу"),("С67","Бхх.уу"), Присваивание внутренних

("С7Г,"Вхх.уу"),("С72","Вхх.уу"),("С73","Бхх. переменных "Х"выводам уу"),("С74","Бхх.уу"),("С75","Бхх.уу"),("С76"," ИМС ББ4 схемы ЛДКЗ. Бхх.уу"),("С77","Бхх.уу"), ("С81","Бхх.уу"),("С82","Бхх.уу"),("С83","Бхх. уу"),("С84","Бхх.уу"),("С85","Бхх.уу"),("С86","

Бхх.уу"),("С87","Бхх.уу"), ББ -функция генерации 15

("С91","Бхх.уу"),("С92","Бхх.уу"),("С93","Бхх. однополярных импульсов уу"),("С94","Бхх.уу"),("С95","Бхх.уу"),("С96"," одновременно в трех ячейках Бхх.уу"),("С97","Бхх.уу"), с координатами первой

("С101","Бхх.уу"),("С102","Бхх.уу"),("С103","Б ячейки: 1а и 1СК; хх.уу"),("С104","Бхх.уу"),("С105","Бхх.уу"),("С 1а - активная сканируемая ЛС 106","Бхх.уу"),("С107","Бхх.уу"))) в выбранной паре;

С=[["С11","С12","С13","С14","С15","С16","С17 ) - индекс сканирования "],["С21","С22","С23","С24","С25","С26","С27"] у - индекс пассивной ячейки в ,["С31","С32","С33","С34","С35","С36","С37"],[ состоянии «лог «0». "С41","С42","С43","С44","С45","С46","С47"], Х3=0 -открытие счетчика ["С51","С52","С53","С54","С55","С56","С57"],[" (СЧ1) канала измерения тока. С61","С62","С63","С64","С65","С66","С67"], ["С71","С72","С73","С74","С75","С76","С77"],[" С81","С82","С83","С84","С85","С86","С87"], ["С91","С82","С93","С94","С95","С96","С97"],["

С101","С102","С103","С104","С105","С106","С1 Х4 =1 на наличие тока в 07"]] тестируемом фрагменте

Вес1агеагоир("ВВ4",("ВВ4.АГ,"ВВ4.А2","ВБ4 .А3","БВ4.А6","ВВ4.А8","ВБ4.А9"))

DeclareVars M=7 число ИМС, имеющие

(("X1","DD4.A1"),("X2","DD4.A2"),("X3","DD4. дефекты КЗ между выводами A3"),("X4","DD4.A4"),("X5","DD4.A5"),("X6"," и подключенные к шине. DD4.A6"), R=10 - количество ЛС в шине,

("X7","DD4.A7"),("X8","DD4.A8"),("X9","DD4. имеющих дефект КЗ. A9"))) t1- длительность лог «1» и лог

def FS(k, b, j, y): # Determination Signature for 3 «0» в последовательности CELLS импульсов.

SICN=0

for n in range(1,8):

AZ= [HighZ(C[a] [i]) for i in range(0,M)] BZ= [HighZ(C[b][i]) for i in range(0,M)] DriveVar(C[b] [y] ,0) DriveVar("X3",0) for i in range(1,16):

if n==1: Drive Var(C [k] [j+2 ], 1 ), sleep(t1),

DriveVar(C[k][j+2],0), sleep(t1) Определение нажатия кнопки

if n==2: Drive Var(C [k] [j+1 ], 1 ), sleep(t1), «ПУСК» DriveVar(C[k][j+1],0), sleep(t1) Пока кнопка не нажата

if n==3: DriveVar(C[k][j+1],1), выдается сообщение «PRESS

Drive Var(C [k] [j+2 ], 1 ), sleep(t1), BUTTON, Write 1» -для

DriveVar(C[k][j+1],0),DriveVar(C[k][j+2],0), продолжения введите цифру sleep(t1) «1».

if n==4: Drive Var(C[k][j],1), sleep(t1), После нажатия кнопки

DriveVar(C[k][j],0), sleep(t1) выполняется программа

if n==5: Drive Var(C[k][j],1), сканирования.

Drive Var(C [k] [j+2 ], 1 ), sleep(t1),

DriveVar(C[k] [j ] ,0),DriveVar(C[k][j+2],0),

sleep(t1)

if n==6: Drive Var(C[k][j],1), Формирование индексов всех

Drive Var(C[k][j+1],1), sleep(tl), возможных тестируемых пар

DriveVar(C[k] [j ] ,0),DriveVar(C[k][j+1],0), ЛС в шине.

sleep(tl) ia - индекс активной

if n==7: Drive Var(C[k][j],1), сканируемой ЛС в выбранной

DriveVar(C[k][j+1],1 ),DriveVar(C[k][j+2],1), паре;

DriveV sleep(t1),DriveVar(C[k][j],0), ib - индекс пассивной не

Var(C[k][j+1],0),DriveVar(C[k][j+2],0), sleep(t1) сканируемой ЛС в выбранной X4 = Getvar("DD.A4") паре.

If X4==1: SICN=SICN*n Установка начального

return SICN, X3 состояния DD4.

M=7 # Quantity IC, attached to Lines of BUS with

defects "Bridge". Установка всех сканируемых

R=10 # Quantity Lines, which has Defect ячеек в состояние «Z». "BRIDGE" ("KZ")

t1=0.0025 # Pulse width Коррекция чувствительности

V=(R-1)*R/2 # Quantity the couple Lines, which «S» и аддитивной

has Defect "BRIDGE" ("KZ") погрешности «0» датчика

for j in range(0,V): SL=[[0 for i in range(0, M)]] тока

for j in range(0,V): SR=[[0 for i in range(0, M)]]

for j in range(0,V): S = [[0 for i in range(0, M)]]

F=[0 for i in range(0, M)] # Listing Service "Testing

All Fragments"

for fr in range(1, 2*M):

# Determinate state "Button On"

a=1 K -количество фрагментов в

while k<=10: шине

X7 = GetVar("DD4.A7") AZ - установка всех ячеек

if X7==0: sleep(0.2) активной ЛС в состояние Z.

if X7==0: break X6=0 включение счетчика

a=a+1 импульсов канала

if a==5: a=int(jft_input ("PRESS BUTTON, Write локализации. 1")) Сканирование активной ЛС

#Select of couple: active Line "A"; passive Line "B" по фрагментам от 1 до М L=[j+1 for j in range(0,R)] X5 -чтение сигнала с выхода

p=0 счетчик локализации

U=int(jft_input(" SET CURRENT SENSOR on ICN -определение номера LINE A", "Write 1")) # STOP for SET SENSOR in тестируемой ИМС в шине. new Fragment Определение все ли

for D in range(0, R-1): фрагменты ЛС

W=int(j ft_input(" CHANGE ACTIVE LINE", протестированы. "Write 1")) # STOP for SET CURRENT SENSOR

in new active Line X9=0 включение светодиода

for r in range(D+ 1,R): выполнения локализации

ia=L[D] Определение условия

ib=L[r] отсутствия сигнала датчика

p=p+1 тока.

DriveGroup("DD4", 001111) #Initial state JTAG

CELLS of IC DD4 Расчет двумерного массива

# Set all JTAG CELLS in the state "Z" сигнатур для каждой пары for j in range(0,R): C=[[HighZ(C[j][i]) for i in каждой ИМС шины. range(0,M)]]# Set all JTAG CELLS in the state "Z"

for j in range(0,R): C=[[HighZ(C[j][i]) for i in range(0,M)]]

# Correction Sesetivity "S"& "0" of the Current Sensor

for i in range(1,6): #Five cycles of correction Senstivite -"S' of Current Sensor.

DriveVar("X2",1) Wite-Time(10)

X8=0 включение светодиода

выполнения тестирования

DriveVar("X2",0) Wite_Time(5000)

фрагмента. Проверка

условия

DriveVar("X1",1) # One cycle of correction "Zero" тестирования

всех

-"0" of Current Sensor.

фрагментов шины.

Wait_Time(10000) DriveVar("X1",0)

Определение условий

наличия дефекта КЗ между выводами ИМС и печать

^Localization Current Sensor on Line SK=0

for K in range(1,M):

результатов тестирования.

AZ=[HighZ(C[ia] [i]) for i in range(0,M)]

DriveVar("X6",0)

for i in range(1,16):

DriveVar(C[ia][K+1],0)

DriveVar(C[ia][K],1)

sleep(tl)

DriveVar(C[ia] [K] ,0) sleep(t1)

X5= GetVar("DD4.A5") if X5==1: ICN=K

if F[K]==1: print("CHANGE FRAGMENT"), break

if F[K]==0:

F[K]=1

DriveVar("X9",0) #Set ON LED "Localization"

DriveVar("X6",1)

SK=SK+X5

if SK==0: print("NO SIGNAL"), break

# CALCULATE SIGNATURE & SPECIFICATION STATE PINS of IC(ICN)

for x in range(1,3): p=p-1

if ICN==1and x==1: j=ICN-1, y = j, S[p][y]=FS(ia, ib, j, y) #Left boundary of BUS if ICN==1and x==2: j=ICN-1, y=j+1, SL[p][y]= FS(ia, ib, j, y)

if ICN>1and ICN<M-1 and x==1: j=ICN-2, y = j+1, SR[p][y]=FS(ia, ib, j, y)

if ICN>1and ICN<M-1 and x==2: j= ICN-1, y=j+1, SL[p][y]= FS(ia, ib, j, y)

if ICN==M-1 and x=1: j= ICN-2, y=j+1, SR[p][y]= FS(ia, ib, j, y)

if ICN==M-1 and x=2: j= ICN-2, y=j+2, S[p][y]=

FS(ia, ib, j, y) #Right boundary of BUS

Drive Var(MX8M,0) #Set ON LED "Testing

Fragment"

SF=0

for i in range(0,M): SF=SF+F[i]

if SF>=M: print("TESTING PINS END"), break else: print("CHANGE FRAGMENT") for j in range(0,M): ICN=j+1

for p in range(0,V):

if j>0 and j<M-1:

S[p][j]= SL[p][j]+SR[p][j]

if S[p][j] = 945: print ("IC="ICN, "defect KZ")

if S[p][j] = 2: print ("NO SIGNAL")

else: print ("IC="ICN, "NO DEFECT-XX")

if j==0: # For Boundary Left of the BUS

if S[p][j] = 1260: print ("IC="ICN,"defect KZ")

if S[p][j] = 1: print ("NO SIGNAL")

else: print ("IC="ICN, "NO DEFECT-XX")

if j==M-1: # For Boundary Right of the BUS

if S[p][j] = 5040: print ("IC="ICN,"defect KZ")

if S[p][j] = 1: print ("NO SIGNAL")

else: print ("IC="ICN, "NO DEFECT-XX")

exit

ПРИЛОЖЕНИЕ Б

i lepu м й замес i иг ель генер&лъ

П УТВЕРЖДАЮ ^мАоЙУКШТ»! ДереаййШн BJ L

АКТ н внедрении

результатов диссертационной работы Бугько Алексея Дмитриевича

Мы, ниже подписавшиеся, представители АО «УКШ» в липе директора НТЦР И. А. Ё'азумОэа, главного конструктора но серии АМ. Комиссарова и главного конструктора Ш направления - Радиоэлектронная аппаратура А, Б, Виноградова С одной стороны; и представители Самарского на^онадайрго иссдсдойетепьског! университете|. И- Королев;! н лице руководителя ОНЙЛ - д,т,н. профессора В. М. \пешни н икона | м.н.с. ОНИЛ 5 - А. Д. Бутыф составили настоящий акт о :<>м пто prty. платы кандидатской диссертации Бутько А. Д. « А гт п ap.i j 11 о-програм мные средства ц^вышеян! тестопригоднорти цифровых gJfeinpoimbiJC модулей бортных счетам у] 1 равнениям внедрены в АО «Ульяновское конструкторское бюро приборостроения« («У'КБП»). г. Ульяновск, Й гзмде руководящего материна РМ 103-^016 «Тсстопригодное проектирование н диагностика электронных модулей ни основе метода грйничногсксканиро^апия с использованием Л AG-интерфейса», внедрен приказом генерального директора по предприятию № 59 от 12.02,2017,

От Л

От АО «УКЫ'Ь

Oi Самарской? униисрснтета

и, А. Разумов

А, Ь, Виноградов А, В. Комиссаров

В. М. Гречишников А.Л Бутько

! крвый заместитель генерального д

А К Г о пмелреммм

результатов диссертационной работы Бутько Алексея Дмитриевича

Мы, ниже подписавшиеся, представшими АО «УКЬП» в лице дл ректора НТЦР И. А. Разум о ва, главного конструктора по серии АН. Комиссарова и глав и wo конструктора МЕ ВгтраЕ&бЕШЯ - Радио;, ¡и кронная аппаратура Л. 1>. ВинОГрСТрва с одной стороны, г предо авигели Самарского национального йссдедовате);L-egbrö университета С. П. Королев;! в лице руководителя ОПИЛ - 5, д.г.н. профессора В. М. Гречишник^й и m.ilc. 0НИЛ 5 - А. Д. Бутько состипшш настояih^ih акт о там, что результаты кандидатской диссертации ГСутько А. Д. «Агшаратнолрофаммлые средства повышенная т^-тирпгодностм цифровых электронны* модулей бортовых систем управления» внедрены в АО; Ульяновское конструктб^ое бюро приборостроения» фкУКБП»)» г, УлкяГОвск, н внд| ^нстругаШкрЙ документации НЭ устройство для ДИаГКОСПГМ! кброти^ ЯЛМЕ^КЛИИЙ под одной ИЛИ несколькими BGA И MC параллельно подключённых к цифровой ¡ише передачи данный-

ii. М. Гречишников А. Д. Бутько

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.