Автономные системы управления на базе динамически реконфигурируемых процессоров для промышленных роботов тема диссертации и автореферата по ВАК РФ 05.13.06, кандидат технических наук Павельев, Сергей Александрович

  • Павельев, Сергей Александрович
  • кандидат технических науккандидат технических наук
  • 2013, Москва
  • Специальность ВАК РФ05.13.06
  • Количество страниц 194
Павельев, Сергей Александрович. Автономные системы управления на базе динамически реконфигурируемых процессоров для промышленных роботов: дис. кандидат технических наук: 05.13.06 - Автоматизация и управление технологическими процессами и производствами (по отраслям). Москва. 2013. 194 с.

Оглавление диссертации кандидат технических наук Павельев, Сергей Александрович

Содержание

Список условных сокращений и обозначений

Введение

1. Исследование технологий автономных систем управления на базе реконфигурируемых блоков и детальное изучение происходящих в них процессов. Анализ адаптивных свойств поведения автономных систем, которое обеспечивается такими системами управления

2. Создание концепции дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС для осуществления автоматического обновления аппаратных средств автономных систем управления

3. Разработка системы управления для динамически реконфигурируемых процессоров

4. Разработка архитектуры динамически реконфигурируемого процессора для автономной системы управления

Заключение

Список использованной литературы

Список условных сокращений и обозначений

АВУ - адаптивное вычислительное устройство АЛУ - арифметико-логическое устройство АО - алгоритмическое обеспечение АСД - адаптивное сжатие данных АЦП - аналого-цифровой преобразователь ДРВ - динамически реконфигурируемый вычислитель ДР ПЛИС - динамически реконфигурируемая программируемая логическая интегральная схема

ДРС - динамически реконфигурируемая система обработки информации

ДРМ - динамически реконфигурируемый модуль

ЗУ - запоминающее устройство

КВУ - комплементарное вычислительное устройство

НРС - нормальное рабочее состояние

ОЗУ - оперативное запоминающее устройство

ООП - объектно-ориентированное программирование

ОП - оперативная память

ОСРВ - операционная система реального времени ПД - память данных

ПЗУ - постоянное запоминающее устройство ПК - память конфигураций

ПЛИС - программируемая логическая интегральная схема

1111 - память программ

111111 - память программ процессора

ПТФ - прямые тригонометрические функции

ПЦОС - процессор цифровой обработки сигналов

РСП - реконфигурируемый сопроцессор

РУМ - резервный управляющий модуль

СБИС - сверхбольшая интегральная схема

СНАС - самонастраивающаяся адаптивная система

СНР - состояние неработоспособности

СОАС - самоорганизующаяся адаптивная система

УО - устройство обработки

УАСД - устройство адаптивного сжатия данных

ЦАП - цифро-аналоговый преобразователь

ЦСП - цифровой сигнальный процессор

ЭВМ - электронно-вычислительная машина

ЭВС - электронно-вычислительное средство

CISC - Complete (Complex) Instruction Set Computer

DISC - Dynamic Instruction Set Computer

DMA - Direct Memory Access

DPMC - Dual-Port Memory Controller

DSP - Digital Signal Processor

EAB - Embedded Array Block

FIFO - First Input First Output

FPGA - Field Programmable Gate Array

FRAM - Ferromagnetic Random Access Memory

HPI - Host-Port Interface

I2C - Inter-IC bus

ISA - Industrial Standard Architecture

JPEG - Joint Photographic Experts Group

JTAG - Joint Test Automation Group

LZW - Lempel-Ziv-Welch encoding

MAC - Multiplication and Accumulation

MIMD - Multiply Instruction Multiply Date

MPEG - Motion Pictures Experts Group

NOP - No Operations

PAM - Programmable Active Memories

PCI - Peripheral Component Interconnect

PE - Processing Element

RAM - Random Access Memory

RISC - Reduced Instruction Set Computer

RLE - Run-Length Encoding

RTOS - Real-Time Operating System

RTCP - Real-Time Control Protocol

RTP - Real-time Transport Protocol

RTSP - Real-Time Streaming Protocol

SCU - Standby Control Unit

SDRAM - Synchronous Dynamic Random Access Memory

SISD - Single Instruction Single Date

SIMD - Single Instruction Multiply Date

SPI - Serial Peripheral Interface

SPIHT - Set Partitioning in Hierarchical Trees

SRAM - Static Random Access Memory

VHDL - Very high speed integrated circuits Hardware Description Language

Рекомендованный список диссертаций по специальности «Автоматизация и управление технологическими процессами и производствами (по отраслям)», 05.13.06 шифр ВАК

Введение диссертации (часть автореферата) на тему «Автономные системы управления на базе динамически реконфигурируемых процессоров для промышленных роботов»

Введение

В настоящее время автономные системы управления (АвСУ) и промышленные роботы в частности, реализованные с применением искусственного интеллекта, все шире внедряются в различных отраслях промышленности, транспорта и в задачах, где непосредственное участие человека невозможно или связано с высоким риском. На практике, нередки случаи, когда вследствие изменения условий работы, изменения целей АвСУ и способов их достижения, возникает необходимость в модификации интеллектуальных алгоритмов. Если АвСУ используется в удаленной или труднодоступной местности (на большой глубине, в космосе и т.п.), непосредственный доступ к ней может быть сопряжен с существенными финансовыми и временными затратами или вовсе невозможен. Дистанционная модификация интеллекта позволяет решать эту проблему и повышать эффективность использования АвСУ.

Анализ научно-технической литературы (Д.А. Поспелов, М.А. Гаврилов,

B.М. Глушков, В.А. Горбатов, C.B. Емельянов, A.A. Красовский, Б.Н. Петров, ЯЗ. Цыпкин, Т. Sato, H. Watanabe, К. Shibata, M. Vorbach, R. Becker, M. Motomura, A. DeHon, J. Wawrzynek, J.P. Cardoso, M. Weinhardt, S. M. Trimberger, J. Hauser, J. Wawrzynek, T.J. Callahan, J.R. Hauser, J. Wawrzynek,

C.K. Wakabayashi, M. Xu, F.J. Kurdahi и др.), нормативно-технической документации и других материалов показал, что существующие в настоящее время технологии повышающие живучесть систем, функционирующих автономно в течение продолжительного времени, не являются достаточно эффективными на всем пространстве возможных ситуаций. В то же время, высокая стоимость аналогов, реализуемых на основе технологий дублирования и резервирования функциональных узлов, не позволяет их внедрять с приемлемой степенью рентабельности, а, следовательно, они не способны решать проблему увеличения жизненных циклов АвСУ в целом. Кроме того, данный подход не предусматривает возможности изменения целей задания промышленных роботов, повышая живучесть только в случае выхода из строя отдельных частей оборудования.

Актуальность задачи реконфигурирования аппаратных средств также обуславливается возрастающим несоответствием между сроком жизненного цикла автоматизированных устройств (например, используемых в интеллектуальных зданиях, автомобилях, технологических процессах) и стремительной скоростью развития информационных и коммуникационных технологий (ИКТ). Функциональный срок жизненного цикла автоматизированных устройств, как правило, достаточно продолжителен и достигает от 5 до 50 лет. Однако, ИКТ развиваются гораздо быстрее,

претерпевая существенные изменения в рамках 0.5-5 лет. Таким образом, технологии, используемые в автоматизированных устройствах, могут устаревать, а все возможности новых технологий используются ими не в полной мере или даже не используются вовсе. На сегодняшний день возможно лишь обновление программного обеспечения автоматизированных устройств, и даже оно затруднено в системах, выполняющих критически важные функции. Обновление аппаратных средств производится лишь тогда, когда продолжение использования старых устройств становится невозможным, затраты на их обслуживание становятся неприемлемыми и, следовательно, требуется замена всего автоматизированного устройства, что обычно обходится для производителей и пользователей очень дорого.

В таких условиях перспективной элементной базой для интеллектуальных АвСУ являются программируемые логические интегральные схемы (ПЛИС), которые представляют собой платформу для создания реконфигурируемых высокопроизводительных цифровых схем и устройств, проектируемых с минимальными материальными и временеными затратами. Наличие у современных ПЛИС режимов изменения внутренней структуры в реальном масштабе времени позволяет создавать на их основе устройства с быстрой перестройкой выполняемых функций.

Разработка и последующее внедрение метода дистанционной модификации интеллекта АвСУ, реализуемого на основе технологий реконфигурируемых вычислений и ПЛИС, является, безусловно, актуальной научной задачей, решение которой по предварительным оценкам позволит повысить срок жизненного цикла автономных систем управления в некоторых задачах применения на 15-20%.

Настоящая работа посвящена решению этих задач. Разрабатываемые и исследованные в диссертации модели могут быть использованы при разработках искусственных автономных систем управления.

На основании изложенного тема диссертации является актуальной.

Цель и задачи работы

Целью диссертационной работы является разработка метода динамического реконфигурирования путей данных для их обработки в автономных системах управления, способного обеспечить адаптивное поведение в сложной, изменяющейся со временем внешней среде. Для достижения поставленной цели было необходимо решить следующие задачи:

1. Исследование технологий автономных систем управления на базе реконфигурируемых блоков и детальное изучение происходящих в них

процессов. Анализ адаптивных свойств поведения автономных систем, которое обеспечивается такими системами управления.

2. Создание концепции дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС для осуществления автоматического обновления аппаратных средств автономных систем управления.

3. Разработка системы управления для динамически реконфигурируемых процессоров

4. Разработка архитектуры динамически реконфигурируемого процессора для автономной системы управления.

Научная новизна

1 .Разработан метод надежного дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС с многодоменной архитектурой.

2. Создана математическая модель динамически реконфигурируемых процессоров (ЭКР).

2. Разработка компилятора для динамически реконфигурируемых процессоров с учетом оптимизации аппаратной архитектуры и функционального уровня моделирования. Динамически реконфигурируемый процессор имеет новую программируемую архитектуру, которая позволяет осуществлять переключение каналов с временным мультиплексированием.

3. Осуществлено распараллеливание процесса вычислений на основе создания графа управления потоками данных (СОБС), который описывает каждый шаг на основе заданных ограничений. Распараллеливание процесса вычислений дало возможность работать с каналами передачи данных не только в двух пространственных измерениях, но и во времени.

4. Решена задача балансировки каналов передачи данных путем объединения максимального использования ресурсов в любом канале, не превышая его допустимый максимум.

Практическая ценность работы заключается в том, что на основе научных результатов, полученных в диссертационной работе предложена концепция построения интеллектуальных систем управления роботами и другими сложными динамическими объектами. Сформулированы ключевые положения, составляющие ее ключевую основу. Предложенная концепция позволяет осуществлять простое и автоматическое обновление аппаратных средства устройства, что существенным образом сокращает затраты производителя/поставщика услуг. Создана среда разработки для динамически реконфигурируемого процессора, используемого в автономных

системах управления. Созданное алгоритмическое и программное обеспечение, позволяющее оперативно осуществлять реконфигурацию потоков данных, защищено свидетельством о программном обеспечении и внедрено на ряде предприятий приборостроения, что подтверждается соответствующими актами внедрения.

Методы исследования:

В работе использовались методы математического моделирования, теории программирования, параллельных вычислений, математической логики, теории графов, передачи данных.

На защиту выносятся:

1. Концепция дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС, которая подразумевает надежную загрузку новых схем ПЛИС в активное устройство на основе метода реконфигурирования ПЛИС с много доменной архитектурой. Многодоменная архитектура ПЛИС вместе с методом надежного реконфигурирования предоставляют возможность обновления программного и аппаратного обеспечения непосредственно во время функционирования устройства.

2. Метод реконфигурирования включает следующие процедуры, выполняемые между сервером реконфигурирования и модулем реконфигурирования: аутентификация, инициализация, самопроверка, реконфигурирование и проверка состояния.

3. Динамически реконфигурируемый процессор (ОЫР), имеющий новую программируемую архитектуру, которая позволяет осуществлять переключение каналов передачи данных с временным мультиплексированием (контекст), состоящий из многих оперативных единиц, единиц хранения и проводных соединений между ними, что позволяет ОКР выполнять очень сложные параллельные вычисления.

4. Интерфейсный инструмент для компиляции потока данных для динамически реконфигурируемого процессора.

Реализация результатов.

Разработанные программные средства входят в состав программного обеспечения, которое используется для исследования модели дистанционного перепрограммирования нечеткого интеллекта промышленных роботов, реализуемого на основе ПЛИС «ФАР-ИНТЕЛЛЕКТ». На данное программное обеспечение получено свидетельство о государственной регистрации программ для ЭВМ РОСПАТЕНТ № 2012613946 от 27.04.2012 г. Данный программный продукт используется в научно-исследовательских работах в Московском государственном

университете приборостроения и информатики, в Национальном исследовательском университете «Московский энергетический институт». Разработанные методические принципы реконфигурации интеллекта в автономных системах использованы в учебном процессе при подготовке специалистов по ГОСВПО 220102 на кафедре «Автоматизированные системы управления и информационные технологии» Московского государственного университета приборостроения и информатики.

Достоверность полученных результатов. Достоверность полученных результатов подтверждена:

-экспериментальными данными и математическим моделированием предложенных методов на ПЭВМ;

-разработкой действующих программных средств, подтвержденных свидетельствами об официальной регистрации;

-результатами использования этих программ на ведущих организациях и предприятиях страны.

Апробация работы. Наиболее важные результаты докладывались на Всероссийской конференции «Проведение научных исследований в области информационно-телекоммуникационных технологий» (г. Москва), XIV Всероссийской научно-технической конференции НИТ-2011 (г. Москва), Всероссийской конференции «Проведение научных исследований в области информационно-телекоммуникационных технологий» (г. Москва), международной молодежной конференции «Информационные системы и технологии» (г. Москва), научно-технической конференции «Проблемы автоматизации и управления в технических системах» (г.Пенза), на Российско-австрийском семинаре по информационно-коммуникационным технологиям (г. Вена, Австрия).

Публикации. По материалам диссертационной работы опубликовано девять научных работ, в том числе две в журналах, входящих в перечень ВАК, а также получено свидетельство о государственной регистрации программ для ЭВМ РОСПАТЕНТ № 2012613946 от 27.04.2012 г.

Структура диссертации. Диссертация состоит из введения, четырех глав, заключения, списка литературы из 246 наименований и 0 приложений.

Основная часть диссертации содержит - 191 страницу машинописного текста, включая 93 — рисунка и 1 — таблицы.

1. Исследование технологий автономных систем управления на базе реконфигурируемых блоков и детальное изучение происходящих в них процессов

1.1. Проблема производительности многопроцессорных систем

Многопроцессорные вычислительные системы (МВС) предназначены для решения сложных задач, для чего от МВС требуется очень высокая производительность. Существует несколько путей достижения высокой производительности многопроцессорных вычислительных систем.

В настоящее время успехи развития МВС обеспечиваются в основном за счет роста технологических возможностей, в частности за счет уменьшения топологических размеров при изготовлении кремниевых микросхем, вследствие чего повышается плотность компоновки вентилей на одном кристалле и возрастает скорость работы процессоров.

Помимо технологических путей повышения производительности вычислительных систем существуют алгоритмические, программные и архитектурные методы. Алгоритмические методы сводятся к построению более эффективных математических методов решения задач. Программные методы состоят в разработке программ, обеспечивающих эффективное использование вычислительных систем, включая память, каналы связи и т.п.

Наконец, важнейшим направлением повышения производительности вычислительных систем являются архитектурные методы. Большинство из существующих архитектур многопроцессорных вычислительных систем имеет органический недостаток: если на некоторых классах задач при данной архитектуре достигается производительность, близкая к пиковой, то при решении других классов задач производительность той же вычислительной системы может резко падать, уменьшаясь на порядок или даже на несколько порядков (рис. 1.1).

Пиковая производительность

Классы задач-

Рис. 1.1. Зависимость производительности системы от класса задач

и

Это является следствием неадекватности конкретной архитектуры многопроцессорной системы внутренней структуре решаемой задачи. Вообще, любой процесс, происходящий в реальной системе Б, и любую задачу можно описать с помощью некоторого множества математических и логических зависимостей или в форме некоторого графа в(С),Х) (рис. 1.2).

Рис. 1.2. Информационный граф задачи Граф 0(С),Х) содержит множество вершин qj е каждой из которых приписана некоторая операция О;, принадлежащая множеству допустимых операций О. Дуги х(яь е X определяют последовательность выполнения операций, приписанных вершинам графа 0(С2,Х), причем если две вершины и соединены дугой х(ць 41+1), то это означает, что результат операции О) является входным данным для операции О^. Граф С(С2,Х) имеет также множество входных дуг х(яоь яО, определяющих источник входных данных, а также выходных дуг х(ц1, Як), определяющих приемник результатов ее решения. В дальнейшем граф С(С),Х) будем называть информационным графом или графом алгоритма решения задачи.

При моделировании процессов, происходящих в системе 8 с помощью параллельной многопроцессорной вычислительной системы, в последней организуется соответствующий вычислительный процесс, который описывается в большинстве случаев совершенно другим графом в* (С)* ,Х*) (рис. 1.3).

^ Процессоры +

Рис. 1.3. Граф вычислительного процесса в системе

Здесь множество вершин (2* определяется множеством процессоров вычислительной системы. Множество дуг X* представляет собой множество каналов коммуникаций между процессорами. При этом входные и выходные дуги графа в* (С)* ,Х* ) определяются каналами связи с источником входных и приемником выходных данных, в частности с памятью системы. Операции О; из множества О реализуются в процессорах вычислительной системы в форме последовательных процедур обработки данных.

Как правило, если многопроцессорная вычислительная система имеет «жесткую» архитектуру, то графы О и О* существенно отличаются один от другого. Различие между графами О и О* объясняется тем фактом, что жесткая архитектура параллельной многопроцессорной вычислительной системы в большинстве случаев значительно отличается от структуры моделируемой системы Э. В результате для моделирования системы 8 с помощью многопроцессорного компьютера с «жесткой» архитектурой в последнем приходится организовывать некоторый мультипроцедурный вычислительный процесс, который моделирует систему 8, но структурно с ней не совпадает и описывается графом О*, существенно отличным от графа О реальной моделируемой системы 8.

В большинстве случаев граф О* многопроцессорной системы с «жесткой» архитектурой значительно более сложен, чем исходный граф в моделируемой системы или решаемой задачи, и имеет более сложную систему внутренних коммуникационных каналов X* , чем система информационных дуг X в графе О . Все это приводит к существенным потерям времени за счет операций распределения заданий и процедур между процессорами параллельной системы [99]. Снижение эффективности работы вычислительной системы происходит из-за потерь при передаче данных через промежуточные процессоры, из-за очередей и конфликтов при обращении процессоров к данным, хранящимся в памяти, и по многим

другим причинам. В результате реальная производительность таких вычислительных систем оказывается существенно ниже пиковой, и, как отмечают сами создатели наиболее распространенных кластерных суперкомпьютеров, реальная производительность зачастую не превышает 10% от пиковой производительности системы [1]. Более того, зачастую при увеличении числа процессоров в таких вычислительных системах их реальная производительность не только не возрастает, а, наоборот, начинает снижаться (рис. 1.4).

1 Производительность

реальная оизводительность

Число процессороЕ

Рис. 1.4. Зависимость производительности систем с «жесткой» архитектурой от

числа процессоров

Указанного недостатка вычислительных систем с «жесткой» архитектурой можно избежать, если обеспечить возможность реконфигурации архитектуры МВС таким образом, чтобы граф в* вычислительного процесса как можно ближе совпадал с графом в моделируемого процесса (или решаемой задачи). В этом случае пик производительности МВС можно будет «сдвигать», подстраивая его под решаемую в текущий момент времени задачу (рис. 1.5), а также обеспечить практически линейный рост производительности МВС при увеличении числа процессоров в системе (рис 1.6).

Пиковая производительность

/\ /\ /\ /\ / \/ \/ \/ \ / Л А А \

/ \ / N / \ V

/ V \

^ / N \

Классы задач.

Рис. 1.5. Приближение реальной производительности системы к пиковой

Рис. 1.6. Зависимость производительности вычислительной системы с реконфигурируемой архитектурой от числа процессоров

Данная основополагающая идея лежит в основе всех реконфигурируемых МВС различных типов, несмотря на их кажущиеся отличия. Тем не менее, рассмотрим более подробно различные подходы к организации реконфигурируемых МВС.

Первыми реальными реконфигурируемыми вычислителями можно считать аналоговые вычислительные машины и их цифровые аналоги - так называемые цифровые дифференциальные анализаторы [44]. В этих устройствах изменение (реконфигурация) архитектуры связей между обрабатывающими узлами для обеспечения адекватности вычислительного процесса решаемой задачи осуществлялось вручную с помощью коммутационной панели.

В 1966 году в Новосибирске вышла в свет книга Э.В. Евреинова и Ю.Г. Косарева «Однородные универсальные системы высокой производительности» [37], в которой авторы предлагали строить вычислительную систему в виде «однородной универсальной вычислительной системы, состоящей из одинаковых и одинаково соединенных друг с другом универсальных вычислительных машин и обладающей возможностью программного изменения структуры». Рассматривались также однородные вычислительные среды, состоящие из одинаковых и одинаково соединенных друг с другом простейших универсальных элементов, программно настраиваемых на выполнение любой функции из полного набора логических функций, памяти и любого соединения со своими соседями.

Другим направлением реконфигурируемых вычислительных систем, развиваемым в нашей стране, стала концепция многопроцессорных вычислительных систем с программируемой архитектурой, впервые сформулированная A.B. Каляевым в 70-х годах прошлого века [44,46]. В отличие от разработчиков однородных вычислительных сред, которые

реализовывали вычислительный узел среды в виде простейшего однобитового процессора, соединенного с соседними процессорами по принципу близкодействия, A.B. Каляев предложил строить процессорный узел вычислительной системы в виде некоторой элементарной структуры с реконфигурируемой архитектурой. Процессорные узлы такого типа были названы макропроцессорами. Каждый макропроцессор содержал набор элементарных процессоров, объединенных некоторой полнодоступной коммутационной системой [48].

Однако, несмотря на большое число исследований в области реконфигурируемых МВС, до сих пор они не нашли широкого применения. В первую очередь это объясняется специфичностью и достаточной сложностью программирования таких систем. Действительно, процедура программирования таких систем, требующая программирования не только каждого отдельного процессорного элемента, но и структуры связей между ними в соответствии с графом алгоритма решаемой задачи, требует наличия нестандартных средств программирования, а также нестандартного мышления программиста. Все это достаточно сложно и, как правило, требует больших временных затрат. Поэтому использовать реконфигурируемые МВС для решения часто меняющихся задач с одиночным вектором входных данных оказывается малоэффективно. Но есть класс задач, при решении которых преимущества реконфигурируемых мультиконвейерных МВС проявляются в полной мере. Это так называемые потоковые задачи, т.е. задачи обработки больших массивов (потоков) данных по одному и тому же алгоритму. Примерами таких задач могут служить задачи математической физики, цифровой обработки сигналов, криптографии и т. д.

1.2. Определение динамически реконфигурируемой системы обработки информации

Прежде, чем приступать к подробному рассмотрению особенностей и преимуществ динамически реконфигурируемых систем, которыми они обладают по сравнению с традиционными вычислителями, необходимо дать их точное определение. Динамически реконфигурируемые системы (dynamically reconfigurable systems, adaptive computing systems) - это класс вычислителей, способных менять свою внутреннюю логическую структуру непосредственно в процессе функционирования [50] за время, значительно меньшее времени выполнения вычислительных задач, между которыми происходила смена структуры [47, 58]. Следовательно, предельно допустимое для ДРС значение времени конфигурирования TCon в общем случае может изменяться с течением времени: Tconfi = f (t). Таким образом,

система является динамически реконфигурируемой, если справедлива следующая система неравенств:

ргокт, «УТ8К,> где ' = 1,

[^сокр, « ^к,}, где / = 2,И '

где Ттбкл - время выполнения ьй вычислительной задачи. Следовательно, требования к значению времени реконфигурирования ДРС могут меняться во время работы устройства. Если система неравенств (1.1) не выполняется, то нельзя говорить, что система обладает свойством динамической реконфигурации. Таким образом, является ли система динамически реконфигурируемой или нет, в равной степени зависит и от характеристик аппаратной платформы, и от решаемых на ней задач [47, 58]. Любая ДРС состоит как минимум из двух базовых элементов (рис. 1.7)

Рис. 1.7. Обобщенная структурная схема ДРС

- динамически реконфигурируемого модуля (вычислителя), который предназначен для реализации вычислительных операций и основной элементной базой которого на сегодняшний день являются ДР ПЛИС;

- управляющего модуля (контроллера), в котором реализуются основные операции управления и специальная операция загрузки конфигурационного файла в динамически реконфигурируемый модуль из произвольного места в памяти. Таким образом, контроллер фактически представляет собой процессор, функциональный базис которого может быть неполным (могут отсутствовать все вычислительные операции, кроме тех, которые необходимы при работе с памятью).

Безусловно, что динамически реконфигурируемый модуль - это тот самый элемент, который отличает ДРС от традиционных процессорных устройств, хотя реализация такого фундаментального свойства вычислителей, как динамическая реконфигурация, возможна только при наличии обоих элементов.

Говоря о приложениях ДРС, можно утверждать, что на ее базе целесообразна реализация только таких задач, которые требуют структурной адаптации (то есть изменения структуры) вычислительного модуля. К ним среди прочего относятся следующие:

- адаптивная обработка данных: в частности, адаптивные алгоритмы цифровой обработки сигналов и другой информации; адаптивные системы управления (прежде всего, самоорганизующиеся системы);

- сокращение аппаратных затрат на реализацию алгоритмов за счет последовательного использования одного и того же аппаратного обеспечения: например, аппаратная реализация различных сетевых протоколов транспортного уровня.

В любом случае динамически реконфигурируемые системы обработки информации - это совокупность архитектур, ориентированных на реализацию устройств с возможностью адаптации. Как известно, адаптивные системы подразделяются на две большие группы [45]:

- системы, использующие адаптацию без обратной связи (рис. 1.8);

- системы, использующие адаптацию с обратной связью (рис. 1.9).

Процесс адаптации без обратной связи состоит в следующем [41, 45]:

1) проводятся измерения характеристик входного сигнала и оп-ределяются его необходимые параметры;

2) полученная зависимость формализуется в виде алгоритма адаптации;

3) алгоритм адаптации реализуется как автономный модуль и регулирует устройство обработки в соответствии с заложенной зависимостью.

Другие данные

Рис. 1.8. Обобщенная схема адаптивной системы без обратной связи

Другие данные

Рис. 1.9. Обобщенная схема адаптивной системы с обратной связью При адаптации с обратной связью коррекции в алгоритм адаптации вносятся автоматически в рабочем режиме, и определяется их влияние на выходной сигнал с целью оптимизации параметров функционирования системы [41]. В литературе, как правило [25, 41], значительное внимание уделяется разработке именно алгоритма адаптации, который справедливо считается наиболее интеллектуальной частью системы. Однако при реализации алгоритмов адаптивной обработки следует учитывать взаимосвязь между алгоритмом адаптации и УО входного сигнала, поскольку от каждого из них зависит, будет ли способна система обрабатывать данные в режиме реального времени или нет. Перенося подобное представление на ДРС и сопоставляя функциональное назначение всех элементов, можно прийти к выводу, что при реализации адаптивных систем ДРМ выступает в качестве УО с перестраиваемой структурой [45].

Однако не все адаптивные системы целесообразно реализовывать на базе ДРС. Для того чтобы это наглядно показать, рассмотрим различные варианты регулирования УО, а именно то, какое влияние может оказывать алгоритм адаптации на УО адаптивной системы. Для этого приведем еще одну классификацию.

В зависимости от типа регулирующего воздействия, которое оказывает алгоритм адаптации на УО, и от структурной организации УО адаптивные системы могут быть реализованы как самонастраивающиеся и самоорганизующиеся. Принцип функционирования самонастраивающихся адаптивных систем заключается в изменении некоторого набора параметров

УО при сохранении его структуры в неизменном виде (на практике это соответствует, например, изменению коэффициентов цифрового фильтра). Для самоорганизующихся адаптивных систем характерно, напротив, формирование новой структуры УО при его регулировании с помощью алгоритма адаптации, что соответствует, например, замене цифрового фильтра одного типа на другой. Становится очевидным, что ДРС избыточны для реализации СНАС, так как в последних отсутствует необходимость в изменении внутренней структуры. В тоже время ДРС являются вполне сбалансированным решением для построения СНАС [45]. .

Вернемся к структуре динамически реконфигурируемой системы обработки информации. Если основным узлом, выполняющим функции У О, в ДРС является ДРМ, то относительно реализации алгоритма адаптации вопрос остается открытым. Априори можно утверждать, что способы его реализации могут значительно отличаться друг от друга и определяются его вычислительной сложностью. В общем случае алгоритмы адаптации могут быть реализованы программно, аппаратно и аппаратно-программно. Достоинства и недостатки каждого варианта известны и широко описаны в литературе (например, в [7, 38, 45]). Собственно говоря, выбор способа реализации алгоритма адаптации и является первой задачей разработчика электронно-вычислительного средства при создании ДРС. От адекватности этого выбора зависит качество функционирования всего устройства в целом.

Перед тем как перейти к вопросам формализации вычислительного процесса у ДРС, следует сделать три важных замечания, которые касаются структуры подобных систем. Во-первых, представление о строгом распределении функций между модулями, изложенное впервые в [33] и кратко рассмотренное выше, во многом является упрощенным. Его основное назначение состояло в том, чтобы обосновать принципиальные отличия ДРС от процессоров, базирующихся на классических принципах Джона фон Неймана. Безусловно, функции управляющего модуля и ДРМ в значительной степени оказываются «перемешанными»: многие вычислительные задачи (особенно во время конфигурирования ПЛИС) решаются контроллером, в качестве которого целесообразно применять RISC-процессор, а некоторые из задач управления - ДРМ.

Во-вторых, не стоит преувеличивать значение элементной базы для ДРС: такое свойство вычислителей, как динамическая реконфигурация, фундаментально и отражает общие архитектурные особенности системы, в то время как элементная база при всей ее важности определяет лишь конкретные технико-экономические характеристики изделия.

В-третьих, описанная структура ДРС ни в коем случае не накладывает ограничений на сложность системы, то есть не определяет количества процессоров, применяемых в качестве управляющего модуля, и числа ПЛИС, из которых состоит ДРМ, а также конкретных типов и характеристик взаимодействия между ними. Единственным требованием при увеличении структурной сложности ДРС является отсутствие противоречивости в управлении системой.

Сейчас науке известно достаточно большое число архитектур ДРС [1013, 31, 32, 43, 48, 51, 56, 64, 71, 73], которые отличаются способами управления ДРМ, количеством контроллеров и ДРМ в составе одной ДРС, организацией памяти конфигураций для ДРМ, подсистемой взаимодействия с центральным вычислителем (host computer), областью применения и т. д. Ниже приведен обзор как базовых, так и более сложных архитектурных решений для динамически реконфигурируемых систем обработки информации.

1.3. Адаптивное вычислительное устройство

Прогресс в технологии ДР ПЛИС позволил перейти к проектированию адаптивных вычислительных устройств (АВУ), способных менять свою внутреннюю структуру в зависимости от поставленной задачи [33]. Цель создания АВУ - повышение эффективности обработки данных, что особенно актуально для мультимедийных потоков информации [33]. Критерием эффективности обработки данных может быть комплексная оценка производительности, программируемое™ и потребляемой мощности (Performance, Programmability and Power - 3P's) [68].

Структурная адаптация как средство повышения производительности -новое явление для вычислительной техники, поэтому основная задача исследований (как теоретических, так и экспериментальных) состоит в выявлении преимуществ и недостатков предлагаемых устройств. Важное и интересное свойство АВУ - универсальность, т. е. возможность решения любой вычислительной задачи. Подобным свойством до появления ДР ПЛИС обладали только процессоры. Однако различия между универсальностью процессоров и АВУ велики. Заключаются они в базовых принципах построения устройств [33].

В основе всех процессорных устройств лежит принцип программного управления. В подавляющем большинстве случае это - фон-неймановский вариант, который состоит в следующем [9, 23]:

1) информация кодируется в двоичной форме и разделяется на единицы (элементы) информации, называемые словами;

2) разнотипные слова информации различаются по способу использования, но не способами кодирования;

3) слова информации размещаются в ячейках памяти машины и идентифицируются номерами ячеек, называемыми адресами слов;

4) алгоритм представляется в форме последовательности управляющих слов, которые определяют наименование операции и слова данных, участвующие в операции. Они называются командами. Алгоритм, представленный в терминах машинных команд, называется программой;

5) выполнение вычислений, предписанных алгоритмом, сводится к последовательному выполнению команд в порядке, однозначно определяемом программой.

Главный недостаток фон-неймановского принципа - ограниченность и фиксированность набора операций вычислительного устройства. Действительно, число известных на сегодня методов расчета различных функций достаточно велико, а число вычислительных задач вообще бесконечно. В таком случае справедливо утверждение, что оптимальная реализация всех алгоритмов не возможна посредством ограниченного и фиксированного набора вычислительных операций [33].

Очевидное следствие этого - проблемы, связанные с синтезом системы команд и построением высокопроизводительных устройств.

Сейчас для их эффективного решения применяются специализированные процессоры, система команд которых является проблемно-ориентированной [38]. Подобный подход позволяет реализовывать многие типовые фрагменты алгоритмов аппаратно, что положительно сказывается на производительности устройств. В специализированных процессорах, как и в любых других, операции инициируются посредством команд, таким же образом определяются адреса операндов [23]. Набор операций не может быть скорректирован без изменения или дополнения аппаратного обеспечения [33].

В этом отношении АВУ - значительно более гибкая система. В ней можно выделить два основных элемента (рис. 1.10) [33]:

- микропрограммный автомат (контроллер АВУ),

- вычислитель (ДР ПЛИС).

Адаптивное вычислительное устройство (АВУ)

I Выходные дажные

Вычислитель

(ДРПЛИС)

¡ i Кокфигураднакнал икфорнаши

Микропрограммный автомат (контроллер АВУ)

"ZS"

Входные даякые

Обшкя поток далкых

Основная память системы

Рис. 1.10. Обобщенная структура АВУ и распределение потока данных в нем

Микропрограммный автомат базируется на принципах программного управления и использует следующие типы операций [33]:

- посылочные (применяются для пересылки информации между основной памятью системы и АВУ);

загрузочные (предназначены для загрузки конфигураций; представляют собой особый вид посылочных операций, их можно выделить в отдельный тип из-за специфичности выполняемых задач);

- ввода-вывода (служат для передачи информации между основной памятью и внешними устройствами системы) [23];

- системные (предназначаются для управления режимами работы системы) [23].

Можно заметить, что в списке отсутствуют арифметические и логические операции, а также операции перехода. Они задаются посредством изменения структуры вычислителя, позволяя для каждого алгоритма использовать оптимальный способ обработки информации. Из этого следует, что после загрузки конфигурации и задания параметров данные в АВУ обрабатываются без использования программного управления. При этом главная задача контроллера - организовать поток данных между вычислителем и основной памятью системы. Фактически она сводится к определению значений (адресов) соответствующих операндов и последующей их пересылке в ДР ПЛИС [33].

Такое разделение функций между вычислителем и микропрограммным автоматом связано с тем, что загрузочные, посылочные, ввода-вывода и системные операции инвариантны по отношению к решаемым задачам - их реализация зависит только от особенностей вычислительной системы, в которой используется АВУ (организации памяти, интерфейса ввода-вывода и т.п.). Следовательно, общую численность таких операций можно фиксировать, а алгоритмы их выполнения - оптимизировать под особенности системы. При построении контроллера АВУ целесообразно использовать программный принцип управления, реализуя перечисленные типы операций как команды [33].

Арифметические и логические операции, а также операции перехода, напротив, должны отражать алгоритм поставленной задачи, поэтому ограниченность набора вычислительных операций неизбежно ведет к тому, что только определенные классы задач будут оптимизированы под структуру АЛУ. Для устранения указанных недостатков применяются ДР ПЛИС, способные аппаратно реализовать алгоритмы любых вычислительных задач. В то же время следует отметить, что операции перехода в ряде случаев могут зависеть не только от алгоритма, но и от особенностей вычислительной системы (например, от способа хранения программы, логической емкости ДР ПЛИС), поэтому для повышения эффективности АВУ можно предусмотреть и программный способ реализации данного типа операций. Примеры наиболее характерных ситуаций, которые могут возникнуть при реализации операций перехода, приведены на рис. 1.11, где У1 - операции перехода, В1, В2, ВЗ - арифметические и логические операции [33].

Другим фактором, позволяющим повысить производительность устройства, является то, что в вычислителе реализуются довольно крупные фрагменты алгоритмов, представляющие собой композиции элементарных операций. Известно, что аппаратные средства характеризуются отсутствием промежуточных пересылок информации в процессе выполнения каждой операции [9]. Таким образом, в АВУ уменьшается число избыточных обращений к памяти, а значит, сокращается общее время вычислений. Дальнейшее увеличение быстродействия устройства в этом направлении связано с введением кэш памяти (рис. 1.12) [33].

Похожие диссертационные работы по специальности «Автоматизация и управление технологическими процессами и производствами (по отраслям)», 05.13.06 шифр ВАК

Заключение диссертации по теме «Автоматизация и управление технологическими процессами и производствами (по отраслям)», Павельев, Сергей Александрович

Заключение

В диссертации проведено исследование технологий автономных систем управления на базе реконфигурируемых блоков и детальное изучение происходящих в них процессов. Проведен анализ адаптивных свойств поведения автономных систем, которое обеспечивается такими системами управления.

Создана концепция дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС для автоматического обновления аппаратных средства автономных систем управления.

Для автономной системы управления создан динамически реконфигурируемый процессор, который модифицирован под конкретную задачу, и может быть встроен в ПЛИС. Тем самым обеспечивается конфигурация информационного потока при управлении данными.

Разработана архитектура динамически реконфигурируемого процессора для автономной системы управления.

Разработана система управления для динамически реконфигурируемых процессоров.

Проведена апробация полученных результатов, которая показала высокую обоснованность и адекватность использования контекстов при организации автономных систем управления.

Список литературы диссертационного исследования кандидат технических наук Павельев, Сергей Александрович, 2013 год

Список использованной литературы

1. Айлиф, Дж. Принципы построения базовой машины / Дж. Ай-лиф; пер. с англ. - М.: Мир, 1973.- 120 с.

2. Аладышев О.С., Дикарев Н .И., Овсянников А. П. и др. СуперЭВМ: области применения и требования к производительности - Известия ВУЗов. Электроника, 2004,/1, 13-17.

3. Алексин Ю.П., Бартиш М.Я, Шмойлов В.И. и др. Мультиконвейерные вычислительные структуры на однородных средах - Львов: ФМИ АН УССР, 1986,74.

4. Алиев P.A. Интеллектуальные роботы с нечеткими базами знаний. М.: Радио и связь, 1994.

5. Алмаши А.Г., Резников Д.Ю., Шимкив А.П. Пособие для программиста ОВС. - Львов: НТЦ "Интеграл", 1991, 53.

6. Антипов В.Н., Горяинов В.Т., Кулин А.Н. и др. Радиолокационные станции с цифровым синтезированием апертуры антенны / Под ред. Горяинова В.Т. - М.: Радио и связь, 1988, 304.

7. Антоненко А.И., Голиченко Е.П., Шмойлов В.И. и др. Система разработки микропрограммных модулей ОВС. - Львов: НТЦ "Интеграл", 1991, 71.

8. Ахо, A.B. Компиляторы: принципы, технологии и инструменты / A.B. Ахо, Р. Сети, Д.Д. Ульман; пер. с англ. - М.: Вильяме, 2003. - 768 с. - ISBN 58459-0189-8.

9. Бабенко Л.К., Макаревич О.Б., Чефранов А. Г. Принципы описания и организации асинхронных крупноблочных вычислений в много роцессорных системах - Электронное моделирование, 1988, /3, 13-17.

10. Байков, В. Д. Аппаратурная реализация элементарных функций в ЭЦВМ / В. Д. Байков, В.Б. Смолов. - Л.: Изд-во ЛГУ, 1975. - 96 с.

11. Байков, В. Д. Специализированные процессоры: итерационные алторитмы и структуры / В. Д. Байков, В.Б. Смолов. - М.: Радио и связь, 1985. - 288 с.

12. Байков, В.Д. Вычисление элементарных функций в ЭКВМ / В. Д. Байков, С. А. Селютин. - М.: Радио и связь, 1982. - 64 с.

13. Байков, В. Д. Решение траекторных задач в микропроцессорных системах ЧПУ/ В.Д. Байков, С.Н. Вашкевич; под ред. В.Б. Смолова. -Л.: Машиностроение. Ленингр. отд-ние, 1986. - 106 с.

14. Байков, В.Д. Средства реализации алгоритмов интерполяции в современных системах ЧПУ станками / В.Д. Байков, С.Н. Вашкевич // Изв. ЛЭТИ. - 1980. - № 278. - С. 44 - 49.

15. Банковский А.Г., Гильбурд М.М., Шмойлов В.И. Однородные вычислительные среды с потоком команд. - Львов: НТЦ "Интеграл", 1989, 63.

16. Банковский А .Г., Карпа Н.М., Шмойлов В .И. и др. Библиотека микропрограммных модулей арифметических и логических о ераций для чисел с фиксированной за ятой. - Львов: НТЦ "Интеграл", 1990, 4.2, 113.

17. Банковский А.Г., Карпа Н.М., Шмойлов В.И. и др. Библиотека микропрограммных модулей арифметических и логических о ераций для чисел с фиксированной запятой. - Львов: НТЦ "Интеграл", 1990, 4.1, 133.

18. Барашков, A.B. Адаптивное сжатие данных / A.B. Барашков, М.В. Руфицкий, А.К. Филиппов // Перспективные технологии в средствах передачи информации : материалы 6 междунар. науч.-техн. конф. - Владимир: РОСТ, 2005. - С. 224 - 226.

19. Бачериков Г.И., Богачёв М.ПШмойлов В.И и др. Мультиконвейерные вычислительные структуры на однородных средах. - Львов: ФМИ АН УССР, 1985, 70.

20. Бачериков Г.И., Брановский Ю.С., Шмойлов В.И. и др. Программное обеспечение ОВС. - Львов: ИППММ АН УССР, 1990, 56.

21. Беркс, А. Предварительное рассмотрение логической конструкции электронного вычислительного устройства / А. Беркс, Г. Голдстейн, Дж. Нейман // Кибернетический сборник. - 1964. -№ 9 - С. 7 - 67.

22. Бернюков, А.К. Применение динамически реконфигурируемых систем в задачах цифровой обработки биоэлектрических сигналов / А. К. Бернюков, А. К. Филиппов // Физика и радиоэлектроника в медицине и экологии : материалы 8 междунар. науч.-техн. конф. - В 2 кн. Кн. 1. - Владимир: Тип. УВД Владим. обл., 2008. - С. 220 - 224.

23. Бернюков, А.К. Реализация алгоритмов функционально-адаптивной обработки сигналов для авиационных бортовых систем на основе высоконадежных динамически реконфигурируемых вычислителей / А.К. Бернюков, А.К. Филиппов // Труды третьей международной научно-технической конференции «Акустооптические и радиолокационные методы измерений и обработки информации». - Владимир: РОСТ, 2009. - С. 28 - 32.

24. Бернюков, А.К. Специализированные вычислители для цифровой обработки биоэлектрических сигналов / А.К. Бернюков, А.К. Филиппов // Биомедицинская радиоэлектроника. - 2008. - № 6. - С. 72 - 78.

25. Бернюков, А.К. Специализированные вычислители на базе динамически реконфигурируемых ПЛИС для использования в цифровых телекоммуникационных системах / А.К. Бернюков, А.К. Филиппов // Материалы седьмой международной научно-технической конференции «Перспективные технологии в средствах передачи информации -ПТСПИ 2007». - Владимир: Изд-во Владимир, гос. ун-та, 2007. -С. 167 - 171.

26. Богачёв М. П., Бачериков Г .И., Геворкян В. И. и др. Однородные вычислительные структуры в системах связи. - Ленинград: Военная академия связи, 1987, 82.

27. Богачёв М.П. Архитектура вычислительной системы с однородной структурой / В кн. Однородные вычислительные среды. - Львов: ФМИ АН УССР, 1981, 15-21.

28. Бондарев А.Н., Елисеев В.Г., Шмойлов В.И. и др. Библиотека микропрограммных модулей арифметических операций для комплексных чисел. Львов: НТЦ "Интеграл", 1991, 107.

29. Бурцев В. С. Информационно-вычислительные системы с автоматическим распараллеливанием вычислительных процессов - Труды Международной конференции «Параллельные вычисления и задачи управления», 2000.

30. Валиев К.А. Квантовые компьютеры и квантовые вычисления, УФН, 175 (1), 3 (2005).

31. Варшавский В.И., Мораховский В.Б. и др. Однородные структуры. - М.: Энергия, 1973, 150.

32. Василькевич А. В ., Дмитриев А. Г., Шмойлов В . И. и др. Библиотека микропрограммных модулей для реализации задач обработки изображений на ОВС. - Львов: НТЦ "Интеграл", 1991, 225.

33. Ватолин, Д. Методы сжатия данных. Устройство архиваторов, сжатие изображений и видео / Д. Ватолин, А. Ратушняк, М. Смирнов. - М.: ДИАЛОГ-МИФИ, 2002. - 384 с.

34. Вашкевич, С.Н. Алгоритмы формообразования для микропроцессорных систем числового программного управления / С.Н. Вашкевич // Автоматизация процессов обработки первичной информации : межвуз. сб. науч. тр. - 1982. - № 8.-С. 28-31.

35. Введение в цифровую фильтрацию / Под ред. Р. Богнера и А. Константинидиса. - М.: Мир, 1976. 216.

36. Векторизация программ: теория, методы, реализация / Сборник переводов статей. - М.: Мир, 1991, 246-267.

37. Вентцель, Е.С. Теория вероятностей / Е.С. Вентцель. - М.: Наука, 1969. -576 с.

38. Вицын Н. Современные тенденции развития систем автоматизированного проектирования в области электроники. // Chip News, № 1, 1997, с. 12-15.

39. Воеводин В. В. Математические модели и методы параллельных роцессов. -М.: Наука, 1986, 286.

40. Воеводин В. В. Математические основы параллельных вычислений. -М.: Изд-во МГУ, 1991, 345.

41. Воеводин В.В., Воеводин Вл.В. Параллельные вычисления. - С.Петербург: «БХВ-Петербург», 2002, 599.

42. Глазков М.А., Малахов В.В., Савостин М.Ф. Видео процессор на ОВС. -Львов: НТЦ "Интеграл", 1990, 47.

43. Глушков, В.М. Теория автоматов и формальные преобразования микропрограмм / В.М. Глушков // Кибернетика. -1965. - № 5. - С. 1 - 9.

44. Голиченко Е. П., Костив Ю . В ., Шмойлов В . И. и др. Библиотека микропрограммных модулей арифметических и логических о ераций для целых чисел. - Львов: НТЦ "Интеграл", 1991. 210.

45. Голиченко Е. П., Фурдыло А. И., Шмойлов В . И. Программирование однородных вычислительных сред. - Львов: НТЦ "Интеграл", 1989, 50.

46. Голиченко Е.П., Костив Ю.В., Шмойлов В.И. и др. Микропрограммные модули арифметических и логических операций для чисел с плавающей запятой. -Львов: НТЦ "Интеграл", 1990, 41.

47. Горбатов, В.А. Семантическая теория проектирования автоматов / В. А. Горбатов. - М.: Энергия, 1979. - 264 с.

48. Губанов, В.А. Введение в системный анализ / В.А. Губанов, В.В. Захаров, А.Н. Коваленко. - Л.: Изд-во ЛГУ, 1988. - 231 с.

49. Дейкстра Э . Взаимодействие последовательных процессов // В сб. Языки программирования / Под ред. Женюи Ф. - М.: Мир, 1972, 9-86.

50. Дейкстра Э. Дисциплина программирования. - М.: Мир, 1978, 278.

51. Джехани Н. Язык Ада. - М.: Мир, 1988, 540.

52. Джоунз Г. Программирование на языке Оккам /Пер. с англ. - М.: Мир, 1989, 208.

53. Димитриев Ю.К., Хорошевский В.Г. Вычислительные системы из мини-ЭВМ. - М.: Радио и связь, 1982, 304.

54. Дордопуло А .И., Каляев И. А., Левин И. И., Семерников Е. А. Семейство многопроцессорных вычислительных систем с динамически перестраиваемой архитектурой // Материалы Четвертой Международной научной молодежной школы «Высокопроизводительные вычислительные системы». - Таганрог: Изд-во ТТИ ЮФУ, 2007, 68-74.

55. Евреинов Э.В. Теоретические основы построения универсальных вычислительных сред. Вычислительные системы - Сб. трудов, Новосибирск, 1965, Вып. 16, 3-72.

56. Евреинов Э.В., Косарев Ю.Г. О вычислительных системах высокой производительности - Известия АН СССР, «Техническая кибернетика», 1963,/4.

57. Евреинов Э.В. О микроструктуре элементарных машин вычислительной системы. Вычислительные системы - Сб. трудов. Новосибирск, 1962, Вы . 4, З-^б.

58. Евреинов Э. В. Однородные вычислительные системы, структуры и среды. - М.: Радио и связь, 1981, 208.

59. Евреинов Э.В., Прангишвили И.В. Цифровые автоматы с настраиваемой структурой. - М.: Энергия, 1974, 240.

60. Евреинов Э.В., Косарев Ю.Г. Однородные универсальные вычислительные системы высокой производительности. - Новосибирск: Наука, 1966,308.

61. Евреинов Э.В., Хорошевский В.Г. Однородные вычислительные системы. - Новосибирск: Наука, 1978.

62. Елейко Я.И., Самчинский A.A., Шмойлов В.И. Построение отказоустойчивой однородной вычислительной среды. - Львов: НТЦ "Интеграл", 1991,67.

63. Елейко В. И., Елейко Я. И., Шмойлов В. И. и др. ОВС без резервных процессорных элементов. - Львов: НТЦ "Интеграл", 1991, 34.

64. Заде Jl. Понятие лингвистической переменной и его применение к принятию приближенных решений. М.: Мир, 1976.

65. Ивленков, М.Ю. Аппаратно-программный комплекс для исследования и отладки динамически реконфигурируемых систем / М.Ю. Ивленков, А.К. Филиппов // Электроника, информатика и управление: сб. науч. тр. преподавателей, сотрудников и аспирантов. - 2004. -№ 5.-С. 8-13.

66. Ивченко В.Д. Диагностика и отказоустойчивость технических систем. Методы обработки информации и принятия решений. - М.: Изд. «Машиностроение-1», 2006 г. 305 с.

67. Интеллектуальные роботы: учебное пособие для вузов/под общей ред. Е.И. Юревича - М.: Машиностроение, 2007 - 360 с.

68. Интеллектуальные системы автоматического управления /под ред. И.М. Макарова и В.М. Лохина. М.: ФИЗМАТЛИТ, 2001.

69. Исаенко В.А., Кузьо М.Н., Шмойлов В.И. и др. Высокопроизводительный комплекс анализа изображений с процессором на однородной вычислительной среде. - Львов: НТЦ "Интеграл", 1991, 60.

70. Каляев А. В ., Станишевский О. Б. Принципы построения программно-аппаратных средств супермакрокомпьютеров // Сб. «Информатика». Сер. Автоматизация проектирования. - Москва, 1990, Вы .2, 13-21.

71. Каляев А. ВСтанишевский О.Б., Фрадкин Б.Г. Макропроцессорный комплект СБИС // Сборник докладов I Всесоюзной конференции «Однородные вычислительные среды и систолические структуры». - Львов, 1990, Т.2, 33-46.

72. Каляев A.B., Левин И.И. Модульно-наращиваемые многопроцессорные системы со структурно-процедурной организацией вычислений. - М.: Янус-К, 2003, 380.

73. Каляев А. В . Многопроцессорные системы с программируемой архитектурой. - М.: Радио и связь, 1984, 240.

74. Каляев А. В. Теория цифровых интегрирующих машин и структур. - М.: Сов. Радио, 1970.

75. Каляев A.B. Однородные коммутационные регистровые структуры. - М.: Сов. Радио, 1978, 336.

76. Каляев A.B., Каляев И.А., Левин И.И. Многопроцессорные вычислительные системы с программируемой архитектурой на основе ПЛИС -Вестник ЮНЦ РАН, 2004, 24-33.

77. Каляев A.B., Левин И.И. Многопроцессорные системы с перестраиваемой архитектурой: концепции развития и применения - Наука -производству, 1999,/ 11, 11-19.

78. Каляев В.А., Левин И.И., Фомин С.Ю. Многопроцессорная система для оперативного моделирования гидрофизических процессов // Сб. «Программные и аппаратные средства машинного моделирования». - Москва, 1988, 70-77.

79. Каляев В.А., Левин И.И., Фомин С.Ю. Об оценке эффективности решения задач математической физики на многопроцессорных системах -Электронное моделирование, 1989, /6, 11-15.

80. Каляев В.А., Левин И.И., Фомин С.Ю. Об эффективности моделирования на многопроцессорной системе процессов распространения цунами // Сб. «Многопроцессорные вычислительные структуры». - Таганрог, 1987, Вы . 9, 14-18.

81. Каляев И. А., Левин И.И. Высокопроизводительные модульно-наращиваемые многопроцессорные системы на основе реконфигурируемой элементной базы -Вычислительные методы и программирование. Изд-во Московского Университета, 2007, Т.8, /1, 181-190.

82. Каляев И.А., Левин И.И., Высокопроизводительные модульно-наращиваемые многопроцессорные системы на основе реконфигурируемой элементной базы, Вычислительные методы и программирование. - М.:Изд-во Московского Университета, 2007. - Т.8. - №1. - С. 181-190

83. Каляев И.А., Левин И.И., Семерников Е.А., Шмойлов В.И., Реконфигурируемые мультиконвейерные вычислительные структуры. - Ростов Н/Д: Издательство ЮНЦ РАН, 2008. - 320 с.

84. Камша В.П., Кузьо М.Н., Шмойлов В.И. и др. ОВС на пластине. - Львов: НТЦ "Интеграл", 1990, 63.

85. Камша Л.С., Камша В.П., Шмойлов В.И. и др. Суперкристалл ОВС с анализом команд. - Львов: НТЦ "Интеграл", 1990, 63.

86. Кемурджиан А.Л. От лунохода до марсохода. М.: Полет. № 5. 1999.

87. Киселев Д.В., Ющенко A.C. Нечеткое управление движением мобильных роботов. Вестник МГТУ им. Н.Э. Баумана. Приборостроение. 2001. №1. сс. 86-99.

88. Китаев, А. Классические и квантовые вычисления / А. Китаев, А. Шень, М. Вялый. - М.: МЦНМО, ЧеРо, 1999. - 192 с. - ISBN 5-900916-35-9.

89. Кокурин С.Л., Кузьо М.Н., Шмойлов В.И. Разработка однородной вычислительной среды на пластине. - Львов: НТЦ "Интеграл", 1991, 26.

90. Корнеев В. В. Архитектура вычислительных систем с программируемой архитектурой. - Новосибирск: Наука, 1983, 116.

91. Корнеев В. В. Вычислительные системы - М.: «Гемос АРВ», 2004, 511.

92. Котов В . Е. Теория параллельного программирования. Прикладные аспекты - Кибернетика, 1974, / 1, 1-16.

93. Круг П.Г. Моделирование искусственных нейронных сетей. Учебное пособие по курсу «Нейронные вычислительные сети». М.:МГУПИ, 2009. 96 с.

94. Круг П.Г., Павельев С.А. Интеллектуальные методы проектирования систем на основе программируемых логических интегральных схем. Сб. тезисов Всероссийской конференции «Проведение научных исследований в области информационно-телекоммуникационных технологий». Москва, 2010. сс. 129-132.

95. Круг П.Г., Павельев С.А. Реконфигурируемый интеллект на базе программируемых логических интегральных схем, Промышленные АСУ и контроллеры. - 2011, N 5. - С.43-49.

96. Кузьо М.Н., Самчинский A.A., Федорцив В.И., Шмойлов В.И. Однородная вычислительная среда для обработки изображений. - Львов: НТЦ "Интеграл", 1991,62.

97. Кузьо М.Н., Самчинский A.A., Шмойлов В.И. и др. Комплекс обработки изображения на ОВС для контроля СБИС. - Львов: НТЦ "Интеграл", 1990, 47.

98. Кун С. Матричные процессоры на СБИС / Пер. с англ. - М.: Мир, 1991, 672.

99. Кутепов В. П., Кораблин Ю. П. Язык граф-схем параллельных алгоритмов//Программирование, 1978,/ 1, 1-16.

100. Кухарев Г .А., Шмерко В. П., Зайцева Е .Н. Алгоритмы и систолические процессоры для обработки многозначных данных. - Минск: Наука и техника, 1990,300.

101. Левин И. И. Организация вычислительного процесса для физико-топологического моделирования транзисторных структур СБИС на многопроцессорной системе // Сб. «Математическое моделирование элементов и фрагментов БИС». - Рига, 1990, 51.

102. Левин И. И. Исследование и разработка проблемно-ориентированной многопроцессорной системы для структурно-процедурного решения задач математической физики. Дисс. на соискание ученой степени канд. техн. Наук. - Таганрог, 1992, 293.

103. Левин И. И. Методы и программно-аппаратные средства параллельных структурно-процедурных вычислений. Дисс. на соискание ученой сте ени докт. техн. Наук. - Таганрог, 2004, 363.

104. Левин И. И. Сопроцессор для структурно-процедурного решения задач математической физики структурно-процедурным методом распараллеливания // Анализ эффективности вычислительных систем / Под ред. Дуброва Я.А. - Львов: Препринт НТЦ «Интеграл», 1991, 14-21.

105. Левин И.И Пономарев И.М Фрадкин Б .Г. Анализ эффективности структурно-процедурной организации вычислительного процесса при решении прикладных задач на МВС // Сборник аннотаций и научных статей. -М., 1995,23-28.

106. Левин И.И. Семерников Е.А. Устойчивость конвейерных рекурсивных фильтров - Вестник Южного научного центра Российской академии наук, 2005, Т.1, Вып. 2, 28-40.

107. Левин И. И. Язык параллельного программирования высокого уровня для структурно-процедурной организации вычислений // Труды Всероссийской научной конференции. - М.: Изд-во МГУ, 2000, 108-112.

108. Левин И. И., Пономарев И. М. Методика организации высокоэффективных параллельных вычислений в многопроцессорных системах // Тезисы международной конференции «Искусственный интеллект -2000», - Кацивели. Таганрог: Изд-во ТРТУ, 2000, 142-144.

109. Левин И. И., Пономарев И. М. Реализация быстрого преобразования Фурье на многопроцессорной системе со структурно-процедурной организацией вычислений // Изв. Вузов. Электромеханика, 1995, /4, 72-74.

110. Левин И.И. Структурно-процедурная организация параллельных вычислений // Материалы Четвертой Международной научной молодежной школы «Высокопроизводительные вычислительные системы». - Таганрог: Изд-во ТТИ ЮФУ, 2007, 49-68.

111. Левин И.И., Пономарев И.М. Реализация алгоритма волновой трассировки на многопроцессорной системе со структурной организацией вычислений / НИИ многопроцессорных вычислительных систем ри Таганрогском государственном радиотехническом университете. - Таганрог, 1995, 49с. Де . в ВИНИТИ 29.05.95, /1553-В95.

112. Литвинюк А. А ., Погрибная И. М., Рожанковский И. В . и др. Алгоритмы, структуры и библиотека микропрограммных модулей цифровых фильтров с дельта-модуляцией на ОВС. - Львов: НТЦ "Интеграл", 1991, 108.

113. Литвинюк A.A. Вычислитель на однородных средах. - Львов: НТЦ "Интеграл", 1988,39.

114. Ловчиков, А.Н. Коррекция эксцентрисета орбиты космического аппарата с магнитодинамической тросовой системой / А.Н. Ловчиков, А.П. Ефимов // Изв. вузов. Сер. Приборостроение. - 2004. - № 4. -С. 20-23.

115. Майерс Г. Архитектура современных ЭВМ. Кн.1. - М.:Мир,1985, 364.

116. Майоров, С.А. Структура электронных вычислительных машин / С. А. Майоров, Г.И. Новиков. - Л.: Машиностроение, 1979. -384 с.

117. Макаров И.М., Лохин В.М., Манько C.B. и др. Дистанционное управление сложными динамическими проектами на основе современных сетевых технологий // Микросистемная техника, 2002. № 5.

118. Маланина Н.М., Шмойлов В.И. Микропрограммирование в мультиконвейерных вычислительных структурах. - Львов: НТЦ "Интеграл", 1989, 66.

119. Месарович, Д. Общая теория систем: математические основы / Д. Месарович, Я. Такахара. - М.: Мир, 1978. - 312 с.

120. Методы робастного, нейро-нечеткого и адаптивного управления: учебник / под ред. Н.Д. Егупова. - 2-е изд. - М.: Изд-во МГТУ им. Н.Э. Баумана, 2002. - 744 с. - ISBN 5-7038-2030-8.

121. Моисеев, H.H. Математические задачи системного анализа / H.H. Моисеев. - М.: Наука, 1981.-488 с.

122. Нечеткие множества в моделях управления искусственного интеллекта / под ред. Д.А. Поспелова. М.: Наука, 1986.

123. Норенков И. П., Маничев В . Б . Системы автоматизированного проектирования электронной и вычислительной аппаратуры / Учеб. особие для вузов. - М.: Высшая школа, 1983, 272.

124. Осипов, Л.А. Обработка сигналов на цифровых процессорах. Линейно-аппроксимирующий метод / Л.А. Осипов. - М.: Горячая линия-Телеком, 2001. -112 с.

125. Палагин А. В. Опанасенко В.Н. Реконфигурируемые вычислительные системы. - Киев: Просвгта, 2006, 288.

126. Пат. CN 101364214 КНР, G06F15/78; G06F15/76, Dynamic reconfigurable instruction computer processor and implementing method, Shiping Gu; Xiaoqin Hua, опубл. 08.08.2007.

127. Пат. CN 101782893 КНР, G06F15/78; G06F17/50, Reconfigurable data processing platform, Zhenghao Lin; Haoqi Ren; Bingchun Zhang; Zhongmin Zhao; Zhangchun Zheng, опубл. 21.01.2009.

128. Пат. CN 101788931 КНР, G06F11/00, Dynamic local reconfigurable system for real-time fault tolerance of hardware, Feng Chen; Guojun Dai; Zhigang Gao; Ganggang Xue; Jiafang Zhang, опубл. 29.01. 2010.

129. Пат. US 2010036972 США, G06F3/00, Reconfigurable computing device and method for inspecting configuration data, Honda Makoto, опубл. 17.09. 2009.

130. Пат. US 2010293356 США, G06F15/00; G06F15/78, Method and system for managing hardware resources to implement system functions using an adaptive computing architecture, Plunkett Robert; Heidari Ghobad; Master Paul, , опубл. 24.05.2010.

131. Погрибной В. А., Литвинюк А. А., Рожанковский И.В. и др. Ориентированные на ОВС методы нерекурсивной фильтрации с дельта-модуляцией. - Львов: НТЦ "Интеграл", 1990, 76.

132. Погрибной В . А., Тимченко А. В , Рожанковский И. В . и др. Цифровая фильтрация сигналов с дельта-модуляцией на ОВС. - Львов: НТЦ "Интеграл", 1991, 65.

133. Погрибной В.А., Бобало С.ИКальмук Ю.Си др. Ориентированные на ОВС методы корреляционного анализа со знаковой дельта-модуляцией. -Львов: НТЦ "Интеграл", 1990, 69.

134. Подрубный О.В., Самчинский А.А., Шмойлов В.И. Построение отказоустойчивой элементной базы ОВС. - Львов: НТЦ "Интеграл", 1990, 36.

135. Поспелов Д. А. Введение в теорию вычислительных систем. - М.: Сов. Радио, 1972, 280.

136. Поспелов Д.А. Арифметические основы вычислительных машин дискретного действия. - М.: Высшая школа, 1970, 308.

137. Потехин Д.С., Тарасов И.Е. Разработка систем обработки сигналов на базе ПЛИС. - М.: Горячая линия - Телеком, 2009. - 248 с.

138. Прангишвили И. В . и др. Однородные микроэлектронные ассоциативные процессоры. - М.: Сов.радио, 1973, 280.

139. Прангишвили И. В., Абрамова Н. А., Бабичева Б. В., Игнатущенко В .В. Микроэлектроника и однородные структуры для остроения логических и вычислительных устройств. - М.: Наука, 1967, 228.

140. Прангишвили И.В., Тодуа Д.А., Абрамова Н.А. и др. ЭВМ ПС-300. -Приборы и системы управления, 1978, /10.

141. Прангишвили, И.В. Нанотехника, наноиндустрия, микросистемы / И.В. Прангишвили, А.Г. Алексенко, P.P. Бабаян // Датчики и системы. - 2002. - № 7. -С. 57 - 65.

142. Применение цифровой обработки сигналов / Под ред. Э. Оппенгейма. -М.: Мир, 1980, 552.

143. Программирование на параллельных вычислительных системах / Под ред. Р. Бэба. - М.: 1991,376.

144. Рабинер JI ., Гоулд Б . Теория и применение цифровой обработки сигналов. -М.: Мир, 1978, 848.

145. Рабинович, 3.JI. Типовые операции в вычислительных машинах / 3. Л. Рабинович, В. А. Раманаускас. - Киев: Техника, 1980. -264 с.

146. Распараллеливание обработки информации / Под ред. Грицика. - Львов, 1985, Т.2.

147. Ривкин М.Н. Векторные операции для моделирования процедур преобразования данных - Программирование, 1991, /3.

148. Русин Б. П., Кузьо M. Н., Шмойлов В. И. Пульсирующие информационные решётки - новое поколение однородных вычислительных сред // Автоматика и вычислительная техника. - Рига, 2002, /1, 60-71.

149. Русын Б. П., Кузьо M .H., Шмойлов В.И. Реконфигурируемые высокопроизводительные системы на однородных средах // Автоматика и вычислительная техника. - Рига, 2000, /3, 72-81.

150. Руфицкий, М.В. Адаптивное устройство вычисления прямых тригонометрических функций / М.В. Руфицкий, А.К. Филиппов // Актуальные проблемы радиоэлектроники и телекоммуникаций : материалы всерос. науч,-техн. конф. - Самара: Изд-во СГАУ, 2004. -С. 20 - 22.

151. Руфицкий, М.В. Базовые принципы построения адаптивных вычислительных устройств / М.В. Руфицкий, А.К. Филиппов // Проектирование и технология электронных средств. - 2003. - № 2. - С. 2 - 5.

152. Руфицкий, М.В. Оценка эффективности применения динамически реконфигурируемого сопроцессора / М.В. Руфицкий, М.Ю. Федотов // Электроника, информатика и управление: сб. науч. тр. преподавателей, сотрудников и аспирантов. - 2001. - № 2. - С. 59 - 64.

153. Руфицкий, М.В. Применение ПЛИС в качестве динамически реконфигурируемого сопроцессора / М.В. Руфицкий, М.Ю. Федотов // Электроника, информатика и управление: сб. науч. тр. преподавателей, сотрудников и аспирантов. - 2000. - № 1 - С. 158 - 163.

154. Самарский А. А. Введение в численные методы. - М.: Наука, 1987, 280.

155. Самчинский А. А., Седов В.СШмойлов В .И. Архитектура однородной вычислительной среды. - Львов: НТЦ " Интеграл", 1991, 228.

156. Сверхбольшие интегральные схемы и современная обработка сигналов // Под ред. С.Гуна, Х.Уайтхауса, Т.Кайлата. - М.:Радио и связь, 1989, 472.

157. Седов B.C. Матрица однородных процессоров. - Львов: НТЦ «Интеграл», 1991

158. Седов B.C., Соболев В.Н., Самчинский A.A. и др. Элементная база ОВС. - Львов: ИППММ АН УССР, 1989, 38.

159. Семерников Е .А., Семерникова Е. Е. Перестановки элементов последовательностей в быстрых алгоритмах цифровой обработки сигналов -

Вестник Южного научного центра Российской академии наук: Изд-во ЮНЦ РАН, 2006, Т.2, / 4, 25-30.

160. Семерников Е.АДоронченко Ю.И. Конвейерный макропроцессор цифровой обработки сигналов со структурно-процедурной организацией вычислений // Вестник компьютерных и информационных технологий. - М: Машиностроение, 2005, /6, 49-55.

161. Сир Ж.К. Метод потока операндов в многопроцессорных системах типа MIMD // Системы параллельной обработки / Под ред. Ивенса Д. - М.: Мир, 1985,240-276.

162. Слик, А. Устройство адаптивного сжатия данных / А. Слик, А. К. Филиппов // Проектирование и технология электронных средств. - 2003. - № 4. -С. 7- 12.

163. Солонина, А.И. Алгоритмы и процессоры цифровой обработки сигналов / А.И. Солонина, Д.А. Улахович, Л.А. Яковлев. - СПб.: БХВ-Петербург, 2001. -464 с. - ISBN 5-94157-065-1.

164. Специализированные ЦВМ: учеб. для вузов / В.Б. Смолов [и др.]. - М.: Высш. шк., 1981. -279 с.

165. Стерлинг Л., Шапиро Э. Искусство программирования на языке Пролог. - М.: Мир, 1990, 235.

166. Стешенко, В.Б. ПЛИС фирмы «Altera»: элементная база, система проектирования и языки описания аппаратуры / В.Б. Стешенко. -М.: Додэка-XXI, 2002. - 576 с. - ISBN 5-94020-001-Х.

167. Тэрано Т., Асиа К., Сугэно М. Прикладные нечеткие системы. М.: Мир, 1993.

168. Угрюмов, Е.П. Цифровая схемотехника / Е.П. Угрюмов. - СПб.: БХВ-Санкт-Петербург, 2000. - 528 с. - ISBN 5-8206-0100-9.

169. Уидроу, Б. Адаптивная обработка сигналов / Б. Уидроу, С. Стирнз; пер. с англ. - М.: Радио и связь, 1989. - 440 с.

170. Фаронов В.В. Система программирования Delphi. - Санкт-Петербург: БХВ-Петербург, 2004, 912.

171. Федотов, М.Ю. Сравнительный анализ режимов динамического реконфигурирования ПЛИС / М.Ю. Федотов // Электроника, информатика и управление: сб. науч. тр. преподавателей, сотрудников и аспирантов. - 2001. -№ 2. - С. 64 - 69.

172. Филиппов А.К. Высоконадежные динамически реконфигурируемые системы обработки информации для ответственных применений, Проектирование и технология электронных средств. - 2008. - № 2. - С. 2 - 9.

173. Филиппов А.К. Динамически реконфигурируемые системы как средство реализации алгоритмов адаптивной обработки цифровых сигналов, Труды Владимирского государственного университета. Вып. 1. Информационно-телекоммуникационные технологии и электроника. - Владимир: Изд-во Владим. гос. ун-та, 2006. - С. 34 - 39.

174. Филиппов, А.К Обработка информации на основе системы адаптивного вычисления прямых тригонометрических функций / А. К. Филиппов // Проектирование и технология электронных средств. - 2005. - № 1. - С. 2 - 8.

175. Филиппов, А.К. Базовые принципы построения комплементарных вычислительных устройств / А. К. Филиппов // Электроника, информатика и управление: сб. науч. тр. преподавателей, сотрудников и аспирантов. - 2004. -№ 5. - С. 4 - 8.

176. Филиппов, А.К. Высоконадежные динамически реконфигурируемые системы обработки информации для ответственных применений / А. К. Филиппов // Проектирование и технология электронных средств. - 2008. - № 2. -С. 2-9.

177. Филиппов, А.К. Динамически реконфигурируемые системы как средство реализации алгоритмов адаптивной обработки цифровых сигналов / А.К. Филиппов // Труды Владимирского государственного университета. Вып. 1. Информационно-телекоммуникационные технологии и электроника. Владимир: Изд-во Владим. гос. ун-та, 2006. -С. 34 - 39.

178. Филиппов, А.К. Исследование возможности применения способа непосредственной проверки сходимости для расчета значений прямых тригонометрических функций / А.К. Филиппов // Проектирование и технология электронных средств. - 2004. - № 2. - С. 50 - 55.

179. Филиппов, А.К. К вопросу о математическом моделировании динамически реконфигурируемых систем обработки информации / А. К. Филиппов // Проектирование и технология электронных средств. - 2008. - № 4. -С. 35 -44.

180. Филиппов, А.К. Основы математического моделирования динамически реконфигурируемых систем обработки информации / А.К. Филиппов // Проектирование и технология электронных средств. - 2008. -№ 1. - С. 38 - 44.

181. Филиппов, А.К. Перспективы развития динамически реконфигурируемых систем / А. К. Филиппов // Проектирование и технология электронных средств. - 2005. - № 4. - С. 27 - 31.

182. Филиппов, А.К. Проектирование систем адаптивного сжатия данных: формализация задач и анализ вариантов реализации / А.К. Филиппов, В. А. Руфицкий // Проектирование и технология электронных средств. - 2006. - № 3. -С. 14-19.

183. Филиппов, А.К. Проектирование цифровых устройств на основе динамически реконфигурируемых систем обработки информации: метод, указания к лаб. работам по дисциплине «Аналоговая и цифровая электроника» / А. К. Филиппов, М. Ю. Ивленков. - Владимир: Изд-во Владим. гос. ун-та, 2008. - 48 с.

184. Филиппов, А.К. Современные архитектуры динамически реконфигурируемых систем обработки информации / А.К. Филиппов // Проектирование и технология электронных средств. - 2007. - № 2. - С. 2 - 9.

185. Фрадков, A.JI. Основы математического моделирования: системный анализ и построение моделей: учеб. пособие / А.Л. Фрадков. -Л.: Ленингр. механ. ин-т, 1989. - 88 с.

186. Фрумкин М.А. Систолические вычисления. - М.:Наука, 1990, 192.

187. Фути К., Судзуки Н. Языки программирования и схемотехника СБИС.-М.: Мир, 1988, 224.

188. Хоар Ч. Взаимодействующие последовательные процессы. - М.: Мир, 1989, 265.

189. Хокни Р ., Джессхоу К. Параллельные ЭВМ. Архитектура, программирование и алгоритмы / Пер. с англ. - М.: Радио и связь, 1986, 392.

190. Хуан К. Перспективные методы параллельной обработки и архитектура суперЭВМ - ТИИЭР, 1987, / 10, 4-41.

191. Чернов, В.Ю. Надежность авиационных приборов и измерительно-вычислительных комплексов: учеб. пособие / В.Ю. Чернов, В.Г. Никитин, Ю.П. Иванов. - СПб: СПбГУАП, 2004. - 96 с. - ISBN 5-8088-0100-1.

192. Шидловский C.B. Автоматическое управление. Перестраиваемые структуры в системах с распределенными параметрами. - Томск: Томский госуниверситет, 2007. - 192 с.

193. Шидловский C.B. Логическое управление в автоматических системах с перестраиваемой структурой // Изв. РАН. Теория и системы управления. -2006.-№2.-С. 123-127.

194. Шмойлов В.ИРусин Б .П., Кузьо M .H. Ячейка пульсирующих информационных решёток. - Львов: Меркатор, 2001, 34.

195. Шмойлов В. И., Русын Б .П., Таянов В.А. Обработка изображений в однородных вычислительных средах. - Львов: Меркатор, 2002, 70.

196. Шмойлов В.И., Адамацкий А.И., Кузьо М.Н. и др. Пульсирующие информационные решетки. - Львов: Меркатор, 2004, 302.

197. Шмойлов В.И., Адамацкий А.И., Кузьо М.Н. и др. Пульсирующие информационные решетки. - Львов: Меркатор, 2004, 302.

198. Шмойлов В.И., Русин Б.П., Кузьо М.Н., Заяц И.А. Пульсирующие информационные решётки. - Львов: Меркатор, 1999, 66.

199. Шмойлов В.И. Архитектура однородных вычислительных сред. - Львов: НТЦ "Интеграл", 1993, 289.

200. Шмойлов В.И. Микропрограммирование в мультиконвейерной вычислительной структуре / В кн. Вычислительные системы, структуры и среды. - Киев: Наукова думка, 1986, 116-164.

201. Шмойлов В.И. Организация вычислительного процесса в мультиконвейерной вычислительной структуре. - Львов: НТЦ «Интеграл», 1991, 93.

202. Шмойлов В.И. Пульсирующие информационные решетки и суперкомпьютеры класса А. - Львов: Меркатор, 2005, 902.

203. Шмойлов В.И., Русин Б.П., Кузьо М.Н. Пульсирующие информационные решетки - новое поколение однородных вычислительных сред // У равляющие системы и машины. - Киев, 2004, /2, 23-38.

204. Шмойлов В.И., Русин Б.П., Кузьо М.Н., Капший О.В. Проектирование пульсирующих информационных решёток. - Львов: Меркатор, 2000, 101.

205. Шмойлов В.И., Русын Б.П., Кузьо М.Н. Однородные вычислительные среды и пульсиры. - Львов: Меркатор, 2001, 62.

206. Шмойлов В.И., Слобода М.З. Расходящиеся непрерывные дроби. -Львов: Меркатор, 1999, 820.

207. Штейнберг Б.Я. Распараллеливание рекуррентных циклов с условными операторами - Автоматика и телематика, 1995, /6, 176-184.

208. Шу Т.Е. Прикладные численные методы в физики и технике. - М.: Высшая школа, 1990, 255.

209. Элементы параллельного программирования /Под ред. Котова В.Я. - М.: Радио и связь, 1983, 240.

210. Ющенко А.С. Дистанционное управление роботами с использованием нечетких представлений // Искусственный интеллект. 2002. № 4. Издательство НАН Украины, сс. 388-396.

211. AN74. High Speed Boards Design, Altera Corporation.

212. Arnold, J. Splash II / J. Arnold, D. Buell, E. Davis // Proceedings of the 4th ACM Symposium of Parallel Algorithms and Architectures. -1992. - P. 316 - 322.

213. Bolotski M. Abacus: A Reconfigurable Bit Parallel Architectures. Ph.Dd.Thesis Massachusetts Institute of Technologies, 1996, 126.

214. Brian P. Gerkey and Maja J. Mataric'. A formal analysis and taxonomy of task allocation in multi-robot systems // Intl. Journal of Robotics Research, 23(9): 939-954, September 2004.

215. Brian P. Gerkey and Maja J. Mataric'. Multi-Robot Task Allocation: Analyzing the Complexity and Optimality of Key Architectures // In Proc. of the IEEE Int. Conf. on Robotics and Automation (ICRA 2003). P. 3862—3868. Taipei, Taiwan, September 14—19, 2003.

216. Chamberlin D.D. Parallel Implementation of a'LAU Ph.D. Thesis Report 19, 1971.

217. Donohoe G. W., Buehler D. M., Hass K. J., Walker W., Pen-Shu Yeh, Field programmable processor array: Reconfigurable computing for space. IEEE Aerospace Conference, pages 1-6, March 2007. DOI: 10.1109/AER0.2007.353105

218. Donohoe G. W., Hass K. J., Bruder S., Pen-Shu Yeh, A reconfigurable data path processor for space applications. Military and Aerospace Programmable Logic Devices. September 2000.

219. Ercal and Lee H.C. "Time-efficient maze routing algorithms on reconfigurable mesh architectures", J. of Parallel and Distributed Computing, 44(2): 133-140, August 1997.

220. Fey, D. Specification for a reconfigurable optoelectronic VLSI processor suitable for digital signal processing / D. Fey, B. Kasche, C. Burkert, O. Tschache // Applied Optics. - 1998. - №. 2. - V. 37. - P. 284 - 295.

221. Filippov A.K. An Adaptive Wavelet-based Video Compression Algorithm for Very Low Bitrate Applications in Digital Broadcasting Systems / A.K. Filippov,

V.A. Rufitskiy, M.V. Rufitskiy [et al] // Proceedings of the 7th Workshop "Digital Broadcasting". - 2006. - P.73 - 85.

222. Filippov, A.K. Adaptive Computing Systems: Definition and Mathematical Background / A.K. Filippov // Proceedings of the 8th International Conference "Perspective technology in the mass media -PTMM'2009". - 2009. - P. 86 - 89.

223. Filippov, A.K. Application-specific hardware for the implementation of texture analysis algorithms / A.K. Filippov, V.A. Rufitskiy, M.Yu. Ivlen-kov [et al] // Proceedings of the 7th International Conference "Perspective technology in the mass media - PTMM'2007". - 2007. - P. 105 - 108.

224. Filippov, A.K. DSP- and FPGA-based Embedded Solutions for Implementing Image Processing Algorithms / A.K. Filippov, V.A. Rufitskiy, V.I. Chukhno [et al] // Proceedings of the 10th International Conference "Digital Signal Processing and its Applications". - 2008. - P. 592 - 596.

225. Filippov, A.K. On the Impact of the Computational Properties of Image Processing Algorithms on their Implementation / A.K. Filippov, V.A. Rufitskiy, V.I. Chukhno [et al] // Proceedings of the 9th International Conference "Digital Signal Processing and its Applications". - 2007. -P. 478 - 482.

226. Flynn, M. Some Computer Organizations and Their Effectiveness / M. Flynn // IEEE Transactions on Computers. -1972. - № C-21. - P. 948 - 960.

227. Fross, B. WILDFIRE(tm) Heterogeneous Adaptive Parallel Processing Systems / B. Fross, D. Hawver, J. Peterson // The 12th International Parallel Processing Symposium. - 1998. - P. 6 - 11.

228. Hauck, S. Configuration prefetch for single-context reconfigurable coprocessors / S. Hauck // Proceedings of ACM/SIGDA International Symposium on Field Programmable Gate Arrays. - 1998. - P. 65 - 74.

229. Kaliaev A.V., Kaliaev I.A., Levin I.I. The Base Module of Multiprocessor System with Structural- Procedural Organization of Computing. Parallel Computing Technologies // Proceedings of 4-th International Conference, PaCT-97. - Yaroslavl, Russia, 1997, 394-396.

230. Kim, B.-J. Low Bit-Rate Scalable Video Coding with 3D Set Partitioning in Hierarchical Trees (3D SPIHT) / B.-J. Kim, Z. Xiong, W.A. Pearlman // IEEE Transactions on Circuits and Systems for Video Technology. - 2000. - № 6. - P. 1374- 1387.

231. Kuch D.J. ILLIACIV Software and Application Programming // IEEE Jrans. Comput., 1968, v. 6-17, N 8, 758-770.

232. Kung H.T. and Leiserson C.E. Systolic arrays (for VLSI). In Sparse Matriz Symposium, SIAM, 1978, 256-282.

233. Lan-Da Van. Systolic Architecture Design //www.cs.nctu.edu.tw/ ~ldvan/teaching/vlsidsp/VLSIDSP_CHAP7.pdf.

234. Mencer, O. Hardware Software Tri-Design of Encryption for Mobile Communication Units / O. Mencer, M. Morf, M.J. Flynn // IEEE International Conference on Acoustics, Speech and Signal Processing. -1998. - № 5. - P. 3045 -3048.

235. Niemann, H. Methoden der Mustererkennung / H. Niemann. -Frankfurt: Akademische Verlagsgesellschaft, 2003. - 468 S.

236. Said, A. A new, fast and efficient image Codec Based on Set Partitioning in Hierarchical Trees / A. Said, W.A. Pearlman // IEEE Transactions on Circuits and Systems for Video Technology. - 1996. - № 6. -P. 243 - 250.

237. Tahsin M. Kurc, Cevdet Aykanat, Fikret Ercal: Parallelization of Lee's Routing Algorithm on a Hypercube Multicomputer. EDMCC 1991: 244-253.

238. Torbjorn S. Dahl, Gaurav S. Sukhatme, and Maja J. Mataric'. Multi-Robot Task-Allocation through Vacancy Chains // In IEEE Int. Conf. on Robotics and Automation. P. 2293-2298. Taipei, Taiwan, Sep. 2003.

239. Vig L. and Adams J. A. A Framework for Multi-Robot Coalition Formation // Proceedings of the 2nd Indian International Conference on Artificial Intelligence, 2005, India.

240. Vladimirova T., Zheng D. Reconfigurable System-on-a-Chip Based Computing Platform for Small Satellites. Proceedings of the 1st Annual ES A Workshop on Spacecraft Data Systems and Software, SDSS 2005, ESTEC, Noordwijk, The Netherlands, 17-20 October 2005.

241. Vuillemin, J. Programmable Active Memory: Reconfigurable Systems Come of Age / J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, P. Boucard // IEEE Transactions on Very Large Scale Integration Systems. - 1996. - № 4. - P. 56 -69.

242. Walker W., Donohoe G. W., Buehler D., Hass K. J., Canine C., Pen-Shu Yeh. The field programmable processor array: Design and testing. Proceedings of the 13th NASA Symposium on VLSI Design, June 2007.

243. Wirthlin, M. A Dynamic Instruction Set Computer / M. Wirthlin, B. Hutchings // Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines. - 1995. - P. 99 - 107.

244. Wolf, W. FPGA-Based System Design / W. Wolf. - New Jersey: Prentice Hall PTR, 2004. - P. 576.

245. Zeidman, B. Designing with FPGAs and CPLDs / B. Zeidman. -Berkeley: Elsevier, 2002. - P. 220.

246. Zhining Huang, Sharad Malik, Nahri Moreano, Guido Araujo. The design of dynamically reconfigurable datapath coprocessors. Journal of ACM Transactions on Embedded Computing Systems (TECS) TECS Homepagearchive. Vol. 3, Issue 2, May 2004. pp. 361 -384.

«УТВЕРЖДАЮ» Генеральный директор Конструкторского бюро «!ЭлектронСистема»

] В.Н. Самсонов

«28» февраля 2012г.

АКТ

о внедрении результатов кандидатской диссертационной работы Павельева Сергея Александровича «АВТОНОМНЫЕ СИСТЕМЫ УПРАВЛЕНИЯ НА БАЗЕ ДИНАМИЧЕСКИ РЕКОНФИГУРИРУЕМЫХ ПРОЦЕССОРОВ ДЛЯ ПРОМЫШЛЕННЫХ

РОБОТОВ»

Комиссия в составе: председатель Самсонов В.Н.,

члены комиссии: к.т.н., с.н.с. Качкин В.И., Ясинский A.B. составили настоящий акт о том, что результаты диссертационной работы выполненной аспирантом в Федеральном государственном бюджетном образовательном учреждении высшего профессионального образования «Московском государственном университете приборостроения и информатики», представленной на соискание ученой степени кандидата технических наук, использованы в проекте КБ «ЭлектронСистема» при разработке новой многоуровневой информационно-вычислительной системы обработки информации. Разработанные автором алгоритмы, математические расчеты и моделирование применены при создании новых приборов цифровой обработки данных. Предложенные методы обеспечивают качественное повышение важных характеристик формируемой системы.

В проекте были использованы следующие результаты диссертационной работы:

© метод надежного дистанционного реконфигурирования программно-

аппаратных средств на базе ПЛИС с многодоменной архитектурой. © модифицированная математическая модель динамически реконфигурируемых процессоров.

• программное обеспечение, позволяющее проводить аналитическое моделирование работы динамически реконфигурируемых процессоров, а так же проводить оптимизацию аппаратной архитектуры процессоров.

Применение данных результатов в комплексе позволило повысить пропускную способность многоуровневой информационно-вычислительной системы и эффективность использования имеющихся вычислительных ресурсов.

Кроме этого, предложенные автором методы, алгоритмы, математическое и программное обеспечение использовались при разработке и создании новых измерительных компьютерных систем для диагностики информационно-вычислительных комплексов на устойчивость к внешним факторам.

Использование метода динамического реконфигурирования путей данных, позволяющего обеспечить адаптивное поведение информационно-вычислительных комплексов в сложной, изменяющейся со временем внешней среде, позволили значительно повысить гибкость использования таких комплексов и спектр решаемых ими задач.

Результаты диссертационной работы аспиранта МГУПИ Павельева С.А. имеют практическое значение, являются актуальными и требуют дальнейшего развития.

Председатель комиссии: в.Н. Самсонов

Главный конструктор, к.т.н., Iе В.И. Качкин

Ведущий программист ^ A.B. Ясинский

«УТВЕРЖДАЮ»

Первый проректор МГУПИ профессор, д.ф.-м.н.

^¿йШУб Соколов В.В.

Шста._2013 г.

^ . _ а.»

АКТ

о внедрении в учебный процесс Московского государственного университета приборостроения и информатики результатов диссертационной работы Павельева С.А. «АВТОНОМНЫЕ СИСТЕМЫ УПРАВЛЕНИЯ НА БАЗЕ ДИНАМИЧЕСКИ РЕ-КОНФИГУРИРУЕМЫХ ПРОЦЕССОРОВ ДЛЯ ПРОМЫШЛЕННЫХ РОБОТОВ»

Результаты диссертационной работы Павельева С.А. «Автономные системы управления на базе динамически реконфигурируемых процессоров для промышленных роботов» использованы в учебном процессе МГУПИ при подготовке инженеров по специальности - 230102 «Автоматизированные системы обработки информации и управления» в дисциплинах «Нейронные вычислительные системы» и «Системы искусственного интеллекта» при чтении лекций, подготовке лабораторных практикумов, курсовом и дипломном проектировании.

В учебном процессе были использованы:

1. Научные положения разработки метода надежного дистанционного реконфигурирования программно-аппаратных средств на базе ПЛИС с многодоменной архитектурой.

2. Математическая модель динамически реконфигурируемых процессоров (ЕЖР).

3. Программное обеспечение исследования модели дистанционного перепрограммирования нечеткого интеллекта промышленных роботов, реализуемого на основе ПЛИС.

Зам. зав. кафедрой ИТ-7, ,, й

проф., д.т.н. ^ Морозова Т.Ю.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.