Генерация диагностических тестов при автоматизированном проектировании электронных средств тема диссертации и автореферата по ВАК РФ 05.13.12, кандидат наук Увайсова Светлана Сайгидовна

  • Увайсова Светлана Сайгидовна
  • кандидат науккандидат наук
  • 2022, ФГАОУ ВО «Национальный исследовательский университет «Высшая школа экономики»
  • Специальность ВАК РФ05.13.12
  • Количество страниц 140
Увайсова Светлана Сайгидовна. Генерация диагностических тестов при автоматизированном проектировании электронных средств: дис. кандидат наук: 05.13.12 - Системы автоматизации проектирования (по отраслям). ФГАОУ ВО «Национальный исследовательский университет «Высшая школа экономики». 2022. 140 с.

Оглавление диссертации кандидат наук Увайсова Светлана Сайгидовна

ВВЕДЕНИЕ

ГЛАВА 1 АНАЛИЗ ПРЕДМЕТНОЙ ОБЛАСТИ И ПОСТАНОВКА ЗАДАЧИ ИССЛЕДОВАНИЯ

1.1 Обзор и анализ состояния проблемы генерации диагностических тестов при автоматизированном проектировании электронных средств

1.2 Анализ методов и средств диагностирования электронных средств

1.3 Постановка задачи исследования

1.4 Выводы по главе

ГЛАВА 2 МЕТОД ГЕНЕРАЦИИ ДИАГНОСТИЧЕСКИХ ТЕСТОВ

2.1 Разработка метода генерации тестов в процессе автоматизированного проектирования ЭС

2.2 Определение ограниченного набора значимых элементов электронного средства

2.3 Генерация тестов для диагностирования ЭС в статическом режиме

2.4 Генерация тестов для диагностирования ЭС в частотной области

2.5 Генерация тестов для диагностирования ЭС в динамическом режиме

2.6 Расчет отбраковочных допусков на внутренние параметры ЭС

2.7 Выводы по главе

ГЛАВА 3 ПРОГРАММНО-МЕТОДИЧЕСКОЕ ОБЕСПЕЧЕНИЕ ПРОЦЕССА ГЕНЕРАЦИИ ТЕСТОВ

3.1 Требования к программному обеспечению генерации диагностических тестов

3.2 Алгоритм функционирования программного комплекса

3.3 Архитектура программного комплекса генерации диагностических тестов

3.4 Инженерная методика генерации эффективных диагностических тестов

3.5 Требования к программно-методическому обеспечению расчета отбраковочных допусков на электрические параметры ЭРЭ

3.6 Алгоритм функционирования программного комплекса автоматизированного расчета отбраковочных допусков на параметры ЭРЭ

3.7 Инженерная методика расчета отбраковочных допусков

3.8 Автоматизированная система расчета отбраковочных допусков

3.9 Выводы по главе

ГЛАВА 4 ЭКСПЕРИМЕНТАЛЬНЫЕ ИССЛЕДОВАНИЯ МЕТОДА И СРЕДСТВ ГЕНЕРАЦИИ ДИАГНОСТИЧЕСКИХ ТЕСТОВ

4.1 Программа экспериментальных исследований

4.2 Экспериментальная проверка метода генерации тестовых сигналов

4.3 Выводы по главе

ЗАКЛЮЧЕНИЕ

СПИСОК ЦИТИРУЕМЫХ ИСТОЧНИКОВ

ПРИЛОЖЕНИЯ

Список терминов и сокращений

САПР - система автоматизации проектирования;

ЭС - электронное средство;

ЭРЭ - электрорадиоэлемент;

ПУ - печатный узел;

ИМС - интегральная микросхема;

БИС - большая интегральная схема;

СБИС - сверхбольшая интегральная схема;

ПЛИС - программируемая логическая интегральная схема;

АИС - аналоговая интегральная схема;

ММ - математическое моделирование;

НК - неразрушающий контроль;

РФА - разрушающий физический анализ;

ТД - техническая диагностика;

ТПП - технологическая подготовка производства;

ТС - тестовый сигнал;

КТ - контрольная точка;

МСН - метод справочников неисправностей;

БХН - база характерных неисправностей

АТ - аддитивная технология;

ПТС - параметрическая тестовая структура;

ФТС - функциональная тестовая структура;

ФД - функциональная диагностика;

ТсД - тестовая диагностика;

ДТ - диагностический тест;

БНК - базовая несущая конструкция;

МКР - метод конечных разностей;

МКЭ - метод конечных элементов;

АСКД - автоматизированная система контроля и диагностирования;

ВВЕДЕНИЕ

Рекомендованный список диссертаций по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Введение диссертации (часть автореферата) на тему «Генерация диагностических тестов при автоматизированном проектировании электронных средств»

Актуальность темы

Конец 70-х - начало 80-х годов прошлого века характеризуется резким ростом сложности электронных средств (ЭС). Это связано с появлением микропроцессорной техники и ее широким и повсеместным внедрением. Появилась возможность проектирования т.н. программно-определяемых устройств самого разного функционального назначения.

Как следствие, кардинально возросли трудности контроля качества [49], прежде всего, выходного контроля технического состояния ЭС [6, 10]. Техническая диагностика (ТД), как наука, получила мощный импульс к своему дальнейшему развитию. Именно в этот период разработаны основные государственные стандарты в области ТД [1-9, 73, 74, 93].

Усилиями отечественных и зарубежных ученых было разработано множество методов неразрушающего контроля (НК) и диагностирования [16-20], Результаты исследований в этой области имели универсальный характер и стали применяться практически во всех отраслях промышленности.

Однако, особенности электронных средств таковы, что в большинстве случаев невозможно одним методом или способом поставить диагноз и установить причины и место неисправности. В отличие от большинства технических изделий в электронных средствах одновременно протекают разные взаимосвязанные физические процессы.

Электрические процессы закономерно вызывают тепловые процессы, которые, в свою очередь, изменяя физико-механические параметры, влияют на прочностные характеристики конструкций [33, 53-55]. Существует и обратное влияние механических процессов через диссипацию энергии на тепловые процессы, а те, через температурно-зависимые параметры электрорадиоэлементов (ЭРЭ) [60], влияют на электрические процессы в ЭС.

Любая неисправность так или иначе будет отражаться на электрических, тепловых или механических характеристиках электронных средств.

На современном этапе сложность ЭС возросла настолько, что практически не представляется возможным их проектирование без применения самых современных систем автоматизированного проектирования (САПР), основанных на математическом моделировании и компьютерных технологиях [40, 67-69].

Несмотря на автоматизацию большинства этапов стадий проектирования, без учета последствий возможных отказов ЭС на стадиях производства и эксплуатации [65, 95], невозможна эффективная организация жизненного цикла электронных средств. Диагностическое обеспечение современных электронных средств должно разрабатываться непосредственно в процессе их автоматизированного проектирования. В противном случае возникнут непреодолимые трудности на этапах выходного контроля и испытаний ЭС на стадии производства и, соответственно, при обслуживании, ремонте и восстановлении на стадии применения по назначению.

Прогресс науки «электроника», как одной из самых интенсивно развивающихся областей знаний, позволяет создавать все более сложные ЭС [79, 105, 113]. Методы и средства диагностирования, которые были эффективны еще вчера, недостаточно эффективны сегодня. Поэтому проблема технической диагностики перманентно развивается и всегда остается актуальной.

Одной из главных научных и практических задач технической диагностики является задача синтеза (генерации) тестовых воздействий. В связи с этим настоящее исследование посвящено разработке методов и средств формирования эффективных диагностических тестов, которые позволят идентифицировать неисправности электронных средств на заданной глубине и с требуемой полнотой.

Степень разработанности темы

Проблемам автоматизации проектирования электронных средств посвящено достаточно много работ отечественных и зарубежных ученых. В частности, работы Кофанова Ю.Н. [41-44] направлены на комплексное моделирование взаимосвязанных физических процессов в ЭС, работы Тумковского С.Р. [45-47] связаны с идентификацией первичных параметров комплектующих электрорадиоэлементов (ЭРЭ) [102], обеспечению электромагнитной совместимости и помехоустойчивости посвящены работы Кечиева Л.Н. [48], повышению стойкости аппаратуры к воздействию электростатических разрядов посвящены работы Пожидаева Е.Д. и Саенко В.С., проблемы диагностического моделирования и контролепригодного проектирования ЭС исследованы в работах Увайсова С.У. [26 - 29, 86] и Иванова И.А. [30, 63], а работы школы Петросянца К.О. направлены на обеспечение радиационной стойкости в процессе автоматизированного проектирования микроэлектронных средств.

Анализируя же степень разработанности темы данного исследования, необходимо отметить, что методы и средства диагностирования аналоговых и цифровых устройств существенно отличаются. Принципиально отличаются и методы синтеза тестовых воздействий [62, 112, 139, 140]. Если для вторых тесты представляют собой набор двоичных кодовых комбинаций определенной разрядности, ограничивающей предельную длину теста, то для первых - это теоретически бесконечное множество значений уровней сигналов.

Реализация тестового диагностирования цифровых устройств ограничивается вычислительными и временными ресурсами. Стало очевидным, что тестирование современных цифровых устройств выливается в колоссальные временные затраты даже при использовании суперкомпьютерных скоростей вычислений [57]. Практическое решение задач диагностирования применительно к цифровым ЭС [37, 51] стало

возможным благодаря новым технологиям и, в частности, - технологии периферийного сканирования JTAG.

Вопросам тестирования аналоговых устройств или цифровых устройств в аналоговом представлении посвящены также много научных работ

[11, 36, 66, 106]. Тем не менее, известные на сегодня методы генерации тестов

при автоматизированном проектировании аналоговых ЭС не всегда достаточно эффективны и требуют дальнейшего развития и обобщения [191].

Таким образом, проблема заключается в противоречии между непрерывным ростом сложности ЭС и требований к их надежности и качеству с одной стороны и, с другой стороны, недостаточной эффективностью существующих методов и средств генерации диагностических тестов

при автоматизированном проектировании, необходимых для поиска неисправностей устройств при их производстве и эксплуатации [14, 15, 22, 23, 31, 61, 71, 72].

Объектом исследования является процесс автоматизированного проектирования контролепригодных электронных средств.

Предметом исследования являются метод, модели, алгоритмы и методическое обеспечение для формирования эффективных тестов при автоматизированном проектировании электронных средств.

Цель и задачи исследования

Целью исследования является обеспечение диагностируемости ЭС относительно заданной глубины и требуемой полноты на этапах проектирования, производства, испытаний и применения ЭС по назначению. Это позволит повысить эффективность процесса генерации диагностических тестов в процессе автоматизированного проектирования ЭС для определения

их фактического технического состояния как на этапах выходного контроля и испытаний, так и в процессе эксплуатации.

Цель достигается последовательным решением следующих логически связанных задач:

• Анализ предметной области и постановка задачи исследования;

• Разработка метода генерации диагностических тестов при автоматизированном проектировании аналоговых ЭС;

• Разработка алгоритма расчета отбраковочных допусков на первичные параметры ЭРЭ;

• Разработка алгоритмов синтеза тестовых сигналов аналоговых ЭС в статическом и динамическом режимах и в частотной области;

• Разработка архитектуры программного комплекса для автоматизированного синтеза диагностических тестов;

• Разработка инженерной методики формирования эффективного набора тестовых воздействий при автоматизированном проектировании ЭС;

• Апробация и внедрение результатов исследования.

Методология и методы исследования

Диссертационное исследование базируется на методологии системного анализа и на методах и теории систем автоматизации проектирования, теориях математического моделирования, чувствительности, надежности, теории вероятностей и математической статистики, методах неразрушающего контроля и диагностирования.

Соответствие результатов исследования паспорту специальности

Результаты исследования соответствуют пп. 1-7 паспорта специальности 05.13.12 - Системы автоматизации проектирования, а также паспорту области науки «Инженерные науки и прикладная математика» по специальности «Электроника, радиотехника и телекоммуникации» (Engineering, Electrical & Electronic; Telecommunications) в части пунктов тематики 1, 3, 16-18.

Личный вклад автора

Все результаты и положения, выносимые на защиту, получены автором лично. На основе глубокого и всестороннего обзора и анализа предметной области, изучения литературных источников и особенностей схемотехнической и конструкторско-технологической реализации современных электронных средств, автором выявлена проблема генерации эффективных диагностических тестов в процессе проектирования электронных средств, как порождение противоречия между необходимостью обеспечения контролепригодности ЭС и отсутствием специализированных средств в существующих САПР [142, 146, 149-151].

Автором лично сформулирован объект исследования как процесс, в котором выявлена проблема и раскрыт предмет исследования как спецификация средств для решения научной задачи генерации тестов при автоматизированном проектировании электронных средств.

Поставлена цель работы и определен логически связанный комплекс задач для ее достижения, при решении которых автором лично получены новые научные результаты, имеющие важное прикладное и практическое значение для электронной отрасли.

Личный вклад также отражен в достаточном числе публикаций в рецензируемых и индексируемых изданиях, в которых соискатель является основным автором.

Достоверность и обоснованность полученных результатов подтверждается корректностью постановки задачи и применяемых методов исследования, согласованностью данных большого объема численных экспериментов с применением современных и широко апробированных программных средств математического моделирования физических процессов в электронных средствах с данными, полученными в ходе натурных экспериментов с использованием поверенных контрольно-измерительных приборов.

Достоверность также подтверждается апробацией основных результатов работы на протяжении ряда лет на многих всероссийских и международных конференциях и публикациями в общедоступных рецензируемых изданиях, индексируемых в международных и отечественных базах цитирования WoS, Scopus и РИНЦ, а также внедрением результатов исследования в практику проектирования ЭС на предприятиях отрасли и в учебный процесс вузов

по профильным направлениям подготовки студентов.

Научная новизна исследования заключается в том, что:

1. Разработан метод генерации тестовых воздействий, который отличается от известных последовательным математическим моделированием электрических процессов в ЭС в статическом режиме, в частотной и временной областях с учетом ограничений, накладываемых техническими условиями на комплектующие элементы к допустимым режимам их работы.

2. Предложен алгоритм расчета допусков на внутренние параметры комплектующих элементов, отличающийся от известных введением информации о рабочих температурах ЭРЭ и требуемого срока службы устройства, что позволяет в процессе схемотехнического проектирования вычислять предельные значения границ допусков.

3. Разработаны алгоритмы генерации тестов, отличающиеся от известных учетом особенностей проектируемого ЭС и метрологических характеристик контрольно-измерительного и диагностического оборудования, что позволяет целенаправленно подбирать эффективные значения тестовых сигналов для диагностирования ЭС как в режиме постоянного тока, так и в динамическом режиме, и в малосигнальном режиме для частотной области.

4. Создано программно-методическое обеспечение, которое в отличие от известных дополняет схемотехнические САПР модулями и

процедурами, позволяющими в процессе автоматизированного проектирования электронных средств сформировать необходимый для контроля технического состояния набор диагностических тестов. Теоретическая значимость и практическая полезность Теоретическая значимость исследования состоит в развитии теории систем автоматизации проектирования электронных средств и методов неразрушающего контроля и технической диагностики ЭС, а ее практическая полезность заключается в том, что:

о Применение предложенного метода и средств при проектировании электронных средств позволят автоматизировать процесс генерации тестовых сигналов и, тем самым, повысить эффективность процесса диагностирования технического состояния ЭС при их производстве, выходном контроле, испытаниях и эксплуатации;

о Генерация эффективных воздействий обеспечит возможность обнаружить и локализовать неисправности электронных средств с требуемой полнотой на заданной глубине поиска;

о Разработанное программно-методическое обеспечение позволяет целенаправленно обеспечить контролепригодность ЭС при их автоматизированном проектировании.

Большое прикладное значение имеют результаты работы при внедрении новых технологий производства электронных средств, особенно при использовании технологии ЭЭ-печатных узлов. Положения, выносимые на защиту:

1. Разработанный метод генерации диагностических тестов позволяет на этапах автоматизированного схемотехнического проектирования электронных средств решить научную задачу по синтезу набора входных электрических сигналов, обеспечивающих необходимую контролепригодность ЭС с требуемой глубиной и заданной полнотой оценки технического состояния;

2. Предложенный алгоритм позволяет в процессе схемотехнического проектирования рассчитать величины отбраковочных допусков на значения параметра комплектующих ЭРЭ с учетом их рабочих температур и общего срока службы устройства;

3. Разработанные алгоритмы генерации тестов позволяют в статическом и динамическом режимах и в частотной области сформировать набор входных электрических сигналов, необходимых для выявления и локализации латентных неисправностей электронных средств;

4. Созданное программно-методическое обеспечение позволяет разработчику в процессе и на этапах схемотехнического и конструкторско-технологического проектирования обеспечить безусловную контролепригодность ЭС.

Апробация результатов

Основные результаты диссертационного исследования докладывались и обсуждались на всероссийских и международных конференциях:

1. 2020 Moscow Workshop on Electronic and Networking Technologies (MWENT), Москва, Россия, 11-13 марта 2020 г., доклад «Event-based Cooperation of Functional Networking Components in Distributed Technological Systems»

2. 2018 Moscow Workshop on Electronic and Networking Technologies, MWENT, Москва, Россия, 14-16 марта 2018г., доклад «Real-time sorting and lossless compression of data on FPGA»

3. International Siberian Conference on Control and Communications, SIBCON, Moscow, May 12-14, 2016; тема докладов: "The algorithm for battery charge control of renewable energy sources — Wind turbine and solar panel", "Lossless compression algorithm for use in telecommunication systems".

4. Межвузовская научно-технической конференция студентов, аспирантов и молодых специалистов им. Е.В. Арменского, г. Москва, Россия,

16 - 29 февраля 2016 г., доклад «Анализ особенностей формирования тестов для диагностики аппаратуры методом справочников неисправностей»;

5. Межвузовская научно-технической конференция студентов, аспирантов и молодых специалистов им. Е.В. Арменского, г. Москва, Россия,

17 февраля - 1 марта 2017 г. доклад «Уточнение отбраковочных допусков на электрические параметры элементов схемы с учетом температурного режима»;

6. International scientific-practical conference "Information Innovative Technologies", Prague, Czech Republic, April 24-26, 2016, April 24-28, 2017; International Seminar on Electron Devices Design and Production (SED), April 23-24 апреля 2019 г., доклады: «Intelligent Power Electronic Converter For Wired and Wireless Distributed Applications» и «The System of Automated Circuit Simulation of Electronic Devices»

7. Международная научно-практическая конференция "Инновационные, информационные и коммуникационные технологии", г. Сочи, 1-10 октября 2016, 2017, 2018 гг. доклады на тему: «Оптимизация параметров БИХ-фильтров с помощью алгоритма роя частиц», «Применение подхода Сешу-Уоксмена для формирования эффективных тестовых воздействий», «Метод проектирования аналоговых фильтров УКВ диапазона на сосредоточенных постоянных элементах»;

По теме диссертации опубликовано 24 работы, в том числе 1 4 в изданиях, индексируемых в Scopus и Web of Science.

Структура и содержание работы

Диссертация состоит из введения, четырех глав с выводами, заключения, списка цитируемых источников и приложения с актами внедрения результатов исследования.

Во введении обоснована актуальность темы диссертации, сформулированы объект и предмет исследования, определена цель работы и

задачи для ее достижений и кратко представлены содержание и основные результаты диссертационного исследования.

Первая глава посвящена обзору и анализу предметной области на основе изучения литературных источников и современного опыта автоматизированного проектирования ЭС. Выявлены недостатки существующих подходов к синтезу тестов [91] при проектировании электронных средств.

Проанализированы схемотехнические и конструкторско-технологические особенности современных электронных средств как объектов диагностирования [35, 38, 52, 75, 76].

Проведен сопоставительный анализ существующих САПР электронных средств на предмет их использования в процессе формирования необходимого набора диагностических тестовых сигналов, достаточных для локализации латентных дефектов в процессе производства, выходного контроля, испытаний и применения ЭС по назначению [13, 77, 80, 84, 87, 98].

Изложены основные методы технической диагностики применительно к электронным средствам и выявлены их особенности, достоинства и недостатки.

Сформулирована постановка задачи научного исследования и представлены краткие выводы по главе.

Во второй главе определены требования к методу генерации диагностических тестов на этапах схемотехнического проектирования электронных средств.

В соответствии с заданными требованиями разработан метод, который основан на компьютерном моделировании электрической схемы электронного средства при наличии в нем как катастрофических, так и параметрических неисправностей комплектующих ЭРЭ. Предложенный в работе подход позволяет формировать необходимый набор тестовых сигналов

при использовании метода справочника неисправностей и метода параметрической идентификации.

Диагностическими признаками при идентификации неисправностей являются первичные параметры элементов схемы ЭС. Для вычисления значений отбраковочных допусков на эти параметры получены математические выражения и соответствующий алгоритм для учета температурного фактора и старения [21, 25, 32, 39, 59].

Разработанный алгоритм диагностического моделирования позволяет синтезировать эффективные тестовые сигналы при исследовании ЭС в статическом режиме, в частотной и временной областях.

Третья глава посвящена разработке программно-методического обеспечения процесса генерации диагностических тестовых сигналов на этапах схемотехнического проектирования ЭС.

Предложена архитектура программного комплекса, который с использованием современных САПР схемотехнического и конструкторско-технологического проектирования позволяет автоматизировать процесс генерации диагностических тестов.

Для использования в практике автоматизированного проектирования электронных средств разработана инженерная методика формирования необходимого набора тестовых сигналов, которые позволят на этапах производства, испытаний и эксплуатации оперативно обнаруживать и идентифицировать неисправности ЭС.

Четвертая глава содержит данные численных и натурных экспериментальных исследований, подтверждающих обоснованность и достоверность результатов диссертационной работы.

Численные исследования проведены с применением современных систем автоматизированного проектирования, а натурные эксперименты, с использованием поверенных контрольно-измерительных приборов [56, 81-83].

Приведены практические результаты применения метода при автоматизированном проектировании аналоговых схем.

В заключении перечислены основные результаты, полученные в ходе выполнения диссертационной работы и обозначены перспективные направления для продолжения дальнейших исследований.

ГЛАВА 1 АНАЛИЗ ПРЕДМЕТНОЙ ОБЛАСТИ И ПОСТАНОВКА ЗАДАЧИ

ИССЛЕДОВАНИЯ

1.1 Обзор и анализ состояния проблемы генерации диагностических тестов при автоматизированном проектировании электронных средств

Проблема генерации эффективных диагностических тестов, наряду с проблемой выбора информативных контрольных точек (КТ) является одной из ключевых при тестировании электронных средств. В конечном счете тестовые воздействия должны обеспечить управляемость и наблюдаемость устройства при всех возможных его состояниях, в том числе, неисправных [34, 88, 94, 117-119].

Постановка и решение задачи генерации тестов в общем случае, как это делается для задач «черного ящика», как правило, на практике не приводит к должным результатам. Здесь более реалистична и реализуема задача «серого ящика», когда допускается отсутствие в ЭС структурных нарушений, а неисправности состоят в отклонениях внутренних параметров, перешедших установленные проектной документацией границы.

Важное внимание должно уделяться особенностям схемно-конструктивного исполнения устройств. Совершенно очевидно, что подходы к генерации тестов для логических, комбинационных или цифровых устройств в корне отличаются от принципов синтеза тестов для диагностирования аналоговых или импульсных устройств [124-129].

И если в первом случае тесты представляют из себя наборы двоичных кодов, пусть и большой размерности, и число их «астрономично», задача сводится зачастую к определению необходимых вычислительных ресурсов. Конечно, для современных многоразрядных цифровых устройств затраты времени на тестирование могут ощутимо перекрывать все разумные сроки и тогда, приходится применять различные приемы, например, декомпозиции, сигнатурного анализа и др.

Наиболее действенный способ тестирования цифровых устройств реализован в стандарте IEEE 1149.1 JTAG (Standard Test Access Port and Boundary-Scan Architecture), который позволяет организовать так называемое периферийное сканирование внутреннего содержания наиболее сложных компонентов электронных средств, а именно СБИС, ПЛИС и др [141, 177, 178]. Применение этой технологии позволяет эффективно тестировать практически все существующие ЭС, реализованные на современной цифровой элементной базе.

Однако, такой подход в корне не приемлем для диагностирования аналоговых устройств и пока неизвестны универсальные методы генерации тестов для таких устройств. Проблема заключается в том, что в качестве тестового сигнала для таких устройств может выступать любой сигнал непрерывной формы, имеющий бесконечное множество своих значений. Разумеется, в диапазоне, не приводящем к разрушению устройства. Отсюда проблема и научная задача, суть которых состоит в вопросе: каковы эти тесты, какова их форма и параметры. Именно поэтому предметом данного исследования и являются методы генерации тестовых сигналов для диагностирования аналоговых электронных средств.

Так как задача генерации диагностических тестов напрямую связана с обеспечением контролепригодности электронных средств, очевидно, что решаться она должна в процессе автоматизированного проектирования, прежде всего, схемотехнического, с применением современных САПР и компьютерного моделирования [107,139, 145, 149, 176, 180].

Безусловно, важную роль при этом играют схемно-конструктивные особенности современных электронных средств (рисунки 1.1 и 1.2) и их уровни иерархии.

Именно они определяют возможную глубину и диагностирования, и полноты охвата неисправностей электронного средства.

ссэс

ФСЭС

эпсэс+пэ

эсзэс+пмэ

Рисунок 1.1 - Схемотехническая иерархия ЭС, где ССЭС - структурная схема ЭС, ФСЭС - функциональная схема ЭС, ЭПСЭС - электрическая принципиальная схема ЭС, ПЭ - перечень элементов ЭС, ЭСЗЭС -эквивалентная схема замещения ЭС, ПМЭ - перечень моделей элементов.

Комплекс

Рисунок 1.2 - Конструктивная иерархия ЭС, где ЭП - электронный прибор, ФЯ - функциональная ячейка, ПУ - печатный узел, БЭС - блок ЭС.

1.2 Анализ методов и средств диагностирования электронных средств

Существует два устоявшихся класса методов диагностирования аналоговых ЭС. К первому классу относят методы диагностирования, которые предполагают основной объем диагностического моделирования до этапа тестирования и делается это на этапах схемотехнического проектирования с применением САПР.

Второй класс или группа методов диагностирования предполагают необходимость основных объемов вычислений уже после процедуры получения реакций схемы электронного средства на тестовые воздействия.

Классическим вариантом первого класса методов является метод справочников неисправностей (МСН), или, как иногда называют, метод характерных неисправностей, укрупненный алгоритм которого представлен на рисунке 1.3 [92, 108, 110].

Метод является достаточно простым в понимании и применении, а также универсальным, т.к. его применение возможно при электрическом, тепловом и механическом диагностировании ЭС, в том числе в различных режимах.

Похожие диссертационные работы по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Список литературы диссертационного исследования кандидат наук Увайсова Светлана Сайгидовна, 2022 год

СПИСОК ЦИТИРУЕМЫХ ИСТОЧНИКОВ

1. ГОСТ 20417-75. Техническая диагностика. Общие положения о порядке разработки систем диагностирования. - М.: 1975.

2. ГОСТ 20911-75. Техническая диагностика. Основные термины и определения. - М.: 1975.

3. ГОСТ 23563-79. Техническая диагностика. Контролепригодность объектов диагностирования. Правила обеспечения. - М.: 1979.

4. ГОСТ 23564-79. Техническая диагностика. Показатели диагностирования. - М.: 1979.

5. ГОСТ 24029-80. Техническая диагностика. Категории контролепригодности объектов диагностирования. - М.: 1980.

6. ГОСТ 24294-80 Определение коэффициентов весомости при комплексной оценке технического уровня и качества продукции. - М.: 1980.

7. ГОСТ 27002-83. Надежность в технике. Термины и определения. - М.: 1983.

8. ГОСТ 26656-85. Техническая диагностика. Контролепригодность. Общие требования. - М.: 1985.

9. ГОСТ 20911-89. Техническая диагностика. Термины и определения. -М. Стандартинформ, 2009, 9 с.

10. Гаскаров Д.В. Прогнозирование технического состояния и надежности РЭА.- М.: Сов.радио,1974.

11. Мозгалевский А.В., Гаскаров Д.В. Техническая диагностика. - М.: Высшая школа, 1975. - 215 с.

12. МозгалевскийА.В., Калявин В.П. Судовые системы технического диагностирования. Учебное пособие. Л.:ЛЭТИ, 1985-77 с.

13. Автоматизированный поиск неисправностей. / Под ред. Мозгалевского

A.Р. - Л.: Энергия, 1968.

14. Надежность и эффективность в технике: Справочник в 10т./Ред.совет:

B.С.Авдуевский (пред.) и др. - М.: Машиностроение, 1987.-(В пер.). Т.9. Техническая диагностика/Под общ. ред. В.В.Клюева, П.П.Пархоменко. 352 с.

15. Глориозов Е.Л., Ссорин В.Г., Сыпчук П.П. Введение в автоматизацию схемотехнического проектирования. — М.; Сов. радио, 1976, 224 с.

16. Вавилов В.П. Тепловые методы неразрушающего контроля: Справочник. — М.: Машиностроение, 1991.

17. Влах И., Сингхал К. Машинные методы анализа и проектирования электронных схем. Пер с англ. — М.: Радио и связь, 1988, 560 с.

18. Воловиков В.В. Разработка метода комплексного моделирования физических процессов при автоматизированном проектировании бортовых электронных устройств /Дисс. канд. техн. наук. - М.: МИЭМ, 2004. - 193 с.

19. Воловикова Е. В. Метод диагностирования радиоэлектронных функциональных узлов по электрическим характеристикам с учетом температур комплектующих элементов /Дисс. канд. техн. наук. - М.: МИЭМ, 2010. - 152 с.

20. Данилин Н.С. Теория и методы неразрушающего инфракрасного контроля радиоэлектронных схем. — М., 1974.

21. Долматов А.В. Разработка метода автоматизированного контроля температур электрорадиоэлементов печатных узлов радиоэлектронных средств /Дисс. канд. техн. наук. - М.: МИЭМ, 2000. - 170 с.

22. Евсиков Ю.А., Обрезков Г.В., В.Д. Разевиг и др. Прикладные математические методы анализа в радиотехнике/ Под ред. Г.В.Обрезкова. — М.: Высшая школа, 1985, 343 с.

23. Ройтмэн Л., Свами М. Метод диагностики цепей. ТИИЭР, 1981, т. 69, N 5, с.194-195.

24. Сагунов В.И. Ломакина Л.И. Контролепригодность структурно связанных систем. - М.: Энергоатомиздат, 1990.-112с.

25. Сулейманов С.П. Метод и средства теплового диагностирования радиотехнических устройств. /Дисс. к. техн. наук. - М.:МИЭМ, 2005.-210 с.

26. Увайсов С. У. Разработка метода диагностического моделирования устройств вторичного электропитания радиоэлектронных систем. Дисс. канд. техн. наук - М.: МИЭМ, 1991.- 215 с.

27. Увайсов С.У., Иванов И.А., Увайсов Р.И. Взаимосвязь показателей контролепригодности и безотказности аппаратуры. / Информационные технологии в образовании, науке и производстве: Сборник трудов 1 -ой всероссийской научно-практической конференции. / Под ред. Ю.А. Романенко, Е.В. Лоцманова Серпухов 2007г.

28. Увайсов С.У., Увайсов Р.И., Иванов И.А. Обеспечение контролепригодности радиоэлектронных средств в рамках CALS-технологий / «Качество. Инновации. Образование», выпуск №68, 2011.

29. Увайсов С.У. Методы диагностирования радиоэлектронных устройств систем управления на протяжении их жизненного цикла /Дисс. док. техн. наук. - М.: МИЭМ, 2000. - 506 с.

30. Иванов И.А. Метод автоматизированного проектирования контролепригодных электронных средств /Дисс. канд. техн. наук. - М.: МИЭМ, 2011. - 1870 с.

31. Увайсов Р.И. Метод диагностирования дефектов бортовых радиотехнических устройств / Дисс. канд. техн. наук. - М.: МИЭМ, 2008.

32. Еремина В.Е., Абрамешин А.Е., Арестова А.Ю., Адюкова Е.В. Методика расчета отбраковочных допусков на комплектующие элементы в составе печатного узла с учетом влияния температуры и старения на примере резисторов. Инновационные информационные технологии. Материалы международной научно-практической конференции. / Под ред. С.У. Увайсова - М.: МИЭМ, 2012, 602 с.

33. Хацкевич О.П., Масленникова Я.Л., Бугорский В.А., Увайсов Р.И. Метод диагностирования дефектов конструкций РЭА // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова - М.: МИЭМ, 2008, с. 138 - 139.

34. Sechu and R. Waxman, "Fault isolation in conventional linear systems- A feasibility study", IEEE Trans. Reliab., vol. R-15, pp.11-16, 1966.

35. Титце У., Шенк У. «Полупроводниковая схемотехника»: Справочное руководство. Пер. с нем. - М.: Мир, 1982. - 512 с., ил.

36. Брюлле Д.Д. Отыскание неисправностей в технических устройствах // Зарубежная радиоэлектроника 1961. № 7, с.27 - 34.

37. Разевиг В.Д. Применение программ P-CAD и PSpice для схемотехнического моделирования на ПЭВМ В 4 выпусках. Вып. 4: Моделирование цифровых и смешанных устройств. - М.: Радио и связь, 1992. - 71 с.: ил.

38. Саврушев Э. Ц. P-CAD 2006. Руководство схемотехника, администратора библиотек, конструктора. Бином-Пресс, 2007. - 768 с.

39. Еремина В.Е., Увайсова С.С., Масленникова Я.Л. Синтез отбраковочного допуска на емкость конденсаторов с учетом температурного фактора. Инновационные технологии, научные и технические достижения, их правовая защита: Сборник статей IV Международной Научно-практической конференции - Тольятти - Москва: Издательство: «Типография Ника», 2011.-356 с.

40. Разевиг В.Д. Схемотехническое моделирование с помощью Micro-Cap 7. - М.: Горячая линия-Телеком, 2003. 368 с: ил.

41. Гольдин В.В., Журавский В.Г., Сарафанов А.В., Кофанов Ю.Н. Информационная поддержка жизненного цикла электронных средств: Монография. - М.: Радио и связь, 2002. - 379с.

42. Кофанов Ю.Н., Пятницкая Г.А., Сегень А.В., Увайсов С.У. Применение компьютерного измерительного тепловизора КРИТ-Т для обеспечения

надежности и качества радиоэлектронных средств. (Введение в тепловизионный контроль и дефектоскопию.): Учебное пособие. - М.: МГИЭМ, 1997, 122 с.

43. Кофанов Ю.Н. Теоретические основы конструирования, технологии и надежности радиоэлектронных средств: Учебник для вузов. — М.: Радио и связь, 1991, 360 с.

44. Шалумов А.С., Малютин Н.В., Кофанов Ю.Н., Способ Д.А., Жаднов В.В., Носков В.Н., Ваченко А.С. Автоматизированная система АСОНИКА для проектирования высоконадежных радиоэлектронных средств на принципах CALS - технологий. Том 1/ Под ред. Кофанова Ю.Н., Малютина Н.В., Шалумова А.С. - М.: Энергоатомиздат, 2007 - 368 с.

45. Иванов И.А., Тумковский С.Р., Сулейманов С.П., Увайсов Р.И. Критерий сравнения амплитудно-частотных характеристик для принятия диагностических решений. Инновации в условиях развития информационно коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова; Отв. за вып. А.В. Долматов, В.В. Ботнев. - М.: МИЭМ, 2006.

46. Тумковский С.Р. Разработка методов автоматизированного схемотехнического проектирования бортовых устройств электропитания радиотехнических систем. /Дисс. канд. техн. наук. - М.: МИЭМ, 1989.

47. Тумковский С.Р., Увайсов С.У., Иванов И.А., Увайсов Р.И. Виброакустический контроль бортовой космической аппаратуры. Стр. 4, журнал «Мир измерений» № 12 (82) 2007.

48. Проектирование печатных плат для цифровой быстродействующей аппаратуры / Л.Н. Кечиев - М.: ООО «Группа ИДТ», 2007. - 616 с.: ил.

49. Данилин Н.С. Неразрушающий контроль качества продукции радиоэлектроники. — М.: Издательство стандартов, 1976, 240 с.

50. Клюев В.В., Соснин Ф.Р., Филинов В.Н. и д.р. Неразрушающий контроль и диагностика: Справочник/ Под ред. Клюева В.В. - М.: Машиностроение, 1995. - 488 с., ил.

51. Данилин Н.С., Нуров Ю.Л. Диагностика и контроль качества изделий цифровой микроэлектроники. — М., 1991.

52. Сарафанов А.В. Разработка научных основ проектирования радиотехнических устройств на базе CALS-идеологии. Дис. докт. техн. наук - М.: МИЭМ М., 2001. - 466 с.

53. Под редакцией Н.И. Чистякова. «Справочная книга радиолюбителя -конструктора» - М.: «Радио и связь» 1990.

54. Хацкевич О.П., Иванов И.А., Масленникова Я.Л., Увайсов С.У. Исследование возможностей нестационарных тепловых процессов для выявления конструктивных дефектов аппаратуры // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова

- М.: МИЭМ, 2009, с. 229 - 232.

55. Конструкторско-технологическое проектирование электронной аппаратуры: Учебник для вузов/ К.И. Билибин, А.И. Власов, Л.В. Журавлева и др. Под общ ред. В.А. Шахнова. - М.: Изд-во МГТУ им. Н.Э. Баумана, 2002. - 528 с.

56. Вакуленко А.С., Дубинский Л.П., Кудрицкий В.Д., Петров И.Ф. Автоматизированная диагностика неисправностей ИС с помощью тепловизора // Электронная промышленность, 1972, № 8, с.37 - 41.

57. Хацкевич О.П., Иванов И.А., Масленникова Я.Л. Расчёт временных затрат при автоматизированном контроле тепловых режимов электрорадиоэлементов в составе печатного узла // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова - М.: МИЭМ, 2009, с. 225 - 226.

58. Долматов А.В., Лобурец Д.А., Увайсов С.У. Комплексное электротепловое моделирование при проектировании и диагностировании радиоэлектронных средств // Информатика-машиностроение, 1998, N2, с.23 -31.

59. Закирова Э.А., Масленникова Я.Л., Хацкевич О.П. Обеспечение тепловых режимов комплектующих элементов печатного узла питания // Сборник трудов: Международная научно-практическая конференция «Информационные технологии в образовании, науке и производстве», МНТК

- 2009, 358-359

60. Закирова Э.А., Масленникова Я.Л., Хацкевич О.П. Расчет температур корпусов элементов на печатном узле источника питания в САПР «АСОНИКА-ТМ» // Надежность и качество: Труды Международного симпозиума в 2-х томах/под ред. Н.К.Юркова. - Пенза: Информационно-издательский центр ПензГУ, 2009. - 1 т., с. 242-243

61. Хацкевич О.П. Диагностирование аналоговых электронных схем// Сборник трудов: Международная научно-практическая конференция «Информационные технологии в образовании, науке и производстве», МНТК

- 2009, 383-385

62. Бугорский В.А., Хацкевич О.П., Формирование тестов для диагностирования аналоговых электронных схем// Материалы научно-технической конференции студентов, аспирантов и молодых специалистов МИЭМ - М:МИЭМ, 2009

63. Иванов И.А., Масленникова Я.Л., Фомина И.А., Хацкевич О.П. Проектирование контролепригодных РЭС // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова - М.: МИЭМ, 2008, с. 116 - 117.

64. Ломакина Л.С. Теория контролепригодности структурно связанных технических и технологических объектов и оптимизация алгоритмов их синтеза. Дисс. доктора техн. наук- Тагантог: ТРИ им В.Д.Калмыкова, 1993.

65. Новиков Н.Н., Астапенко Ю.В., Оверченко Д.В., Коровин О.В. Взаимосвязь показателей контролепригодности с эксплуатационными характеристиками аппаратуры связи// Измерительная техника, 1995, № 6, с.23-26.

66. Бэндлер Дж. У., Салама А.Э. Диагностика неисправностей в аналоговых цепях // Пер. с англ.—ТИИЭР. Т. 73, № 8, 1985.

67. Норенков И.П., Маничев В.Б. Основы теории проектирования САПР: Учеб. для втузов по спец. «Вычислительные маш., компл., сист. и сети». -М.: Высш. шк., 1990. - 335 с.: ил.

68. Норенков И.П. Введение в автоматизированное проектирование технических устройств и систем. Учебное пособие. - М.: Высшая школа, 1986.

69. Норенков И.П. Основы автоматизированного проектирования: Учеб. для вузов. 2-е изд., перераб. и.доп. - М.: Изд-во им. Н.Э. Баумана, 2002. - 336 с.

70. Илья Татарников. 3D шагает в массы с AutoCAD 2011 // САПР и Графика. — М.: КомпьютерПресс, 2010. - № 5. - С. 14 - 18.

71. Бережной В.П., Дубицкий Л.Г. Выявление причин отказов РЭА. Под ред. Л.Г.Дубицкого. - М.: Радио и связь, 1983.

72. Глазунов Л.П., Смирнов А.Н. Проектирование технических систем диагностирования. - Л.; Энергоатомиздат, Ленинградское отд-е, 1982, 168 с.

73. Гуляев В.А. Техническая диагностика управляющих систем. - Киев: Наукова думка, 1983, 208 с.

74. Давыдов П.С. Техническая диагностика радиоэлектронных устройств и систем. - М.: Радио и связь, 1988, 256 с.

75. Иванов-Цыганов А.И. «Электротехнические устройства радиосистем. Учебник для вузов» - 2-е изд., перераб. И доп. - М.: Высш. Школа, 1979. -304 с., ил.

76. Кеоун Дж. OrCAD PSpice. «Анализ электрических цепей» - М.: ДМК Пресс; СПб.: Питер, 2008. - 604 с.: ил.

77. Кийко В.В. «Программная оболочка PSpice control shell, программа моделирования Pspice и программный осциллоскоп probe» - Екатеринбург: УГТУ- УПИ, 2001. 36 с.

78. Ксенз С.П. Диагностика и ремонтопригодность радиоэлектронных средств. - М.: Радио и связь, 1989, 248 с.

79. Лачин В.И., Савёлов Н.С. «Электроника» - Ростов-на-Дону: «Фе-никс», 2007. - 704 с., ил.

80. Разевиг В.Д. Система cхемотехннического моделирования MICRO-CAP V. - М.: Солон, 1997. - 280 с.

81. Разевиг В.Д. Система проектирования OrCAD 9.2 - М.: Солон, 2001. -519 с.

82. Разевиг В.Д. Система сквозного проектирования электронных устройств DesignLab 8.0. - М.: Солон ,1999. - 698 с.

83. Стел, Менпа, Стенман. Машинное проектирование, ч.13. Диагностика с помощью «словаря». Электроника, т.41, №2, с.3.

84. Хайнеман Р. «PSPICE. Моделирование работы электронных схем»: Пер. с нем. - М.: ДМК Пресс, 2005. - 366с.: ил. («Серия проектирование»).

85. Иванов И.А., Увайсов Р.И., Увайсов С.У., Хацкевич О.П. Учёт погрешностей измерения при решении диагностических задач // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции / Под ред. В.Г. Домрачева, С.У. Увайсова - М.: МИЭМ, 2009, с. 188 - 192.

86. Увайсов С.У., Хацкевич О.П. Нечёткое диагностическое моделирование электронных средств // Инновации в условиях развития информационно-коммуникационных технологий: Материалы научно-практической конференции /Под ред. В.Г. Домрачева, С.У. Увайсова - М.: МИЭМ, 2009, с. 251-253.

87. Хернитер М.Е. «Multisim 7. Современная система компьютерного моделирования и анализа схем электронных устройств» - ДМК Пресс, 2006. -488с.

88. "Criteria for analog fault diagnosis", in Proc. Europian Conf. Circuits Theory and Desing (The Hague, The Netherlands), pp.75-78, 1981.

89. "Simplified ATPG and analog fault location via a clustering and separability technique", IEEE Trans. Circuits Syst., vol. CAS-26, pp.496-505, 1979.

90. R. F. Garzia, "Fault isolation computer methods", NASA Contractor Rep. NASA CR-1758, Marschall Space Fligt Center, Hunstville, AL, 1971.

91. San Diego, CA "A review of analog automatic test generation", in Proc. IEEE Int. Automatic Testing Conf. AUTOTESTCON'78 , pp.1-8, 1978.

92. W. Hochwald and J. D. Bastian, "A dc approach for analog fault dictionary determination", IEEE Trans. Circuits Syst., vol. CAS-26, pp.523-529, 1979.

93. Биргер И.А. Техническая диагностика. — М.: Машиностроение, 1978, 240с.

94. Кузнецов П.И., Пчелинцев Л.А., Гайденко А.С. Контроль и поиск неисправностей в сложных системах. — М.: Сов. радио,1969, 239с.

95. Литвинский И.Е. и др. Обеспечение безотказности микроэлектронной радиоаппаратуры на этапе производства/ И.Е.Литвинский, В.А.Прохоренко, А.Н.Смирнов. — Мн.: Беларусь, 1989, 191 с.

96. Лихтциндер Б.Я. Внутрисхемное диагностирование узлов радиоэлектронной аппаратуры - К.: Техника, 1988 - 168 с.

97. Non-Linearity of Resistance/Temperature Characteristic: Its Influence on Performance of Precision Resistors, Dr. Felix Zandman and Joseph Szwarc, 2008

98. Самоучитель SolidWork 2006. Н. Дударева, С. Загайко. Санкт-Петербург «БХВ-Петербург» 2006. - 336 с.: ил.

99. Долматов А.В., Лобурец Д.А., Увайсов С.У. Определение допусков на параметры электрорадиоизделий функциональных узлов с учетом дестабилизирующих факторов. LIII научная сессия, посвященная Дню радио: Тез. докл. - М.: РНТО РЭС им.А.С.Попова, 1998.

100. Вентцель Е.С. Теория вероятностей. — М.: Наука, 1964.

101. Резисторы: Справочник/ В. В. Дубровский, Д. М. Иванов, Н. Я. Пратусевич и др.; Под ред. И. И. Четверткова и В. М. Терехова. - 2-е изд., перераб. и доп. - М.: Радио и связь, 1991. - 528 с.

102. Рычина Т. А. Электрорадиоэлементы. М., «Сов. радио», 1976, 336 с.

103. Резисторы. Сборник справочных листов.

104. Справочник по электрическим конденсаторам / М.Н. Дьяконов, В.И. Карабанов, В.И. Присняков, и др.; Под общ. ред. И.И. Четверткова и В.Ф. Смирнова. - М.: Радио и связь, 1983. - 576 с.; ил.

105. Рычина Т.А. Зеленский А.В. Устройства функциональной электроники и электрорадиоэлементы: Учебник для вузов. - 2-е изд., перераб. и доп. - М.: Радио и связь, 1989 - 352 с.: ил.

106. Charles K. A., Matthew N. O. Fundamentals of electric circuits. - McGraw-hill Education, 2017.

107. Kozlowski M., Skorupski J., Stelmach A. Simulation analysis of aerodrome CNS system reliability //Safety and Reliability-Safe Societies in a Changing World. - CRC Press, 2505-2511, 2018.

108. Beckler M., Blanton R. D. S. GPU-accelerated fault dictionary generation for the TRAX fault model //2017 International Test Conference in Asia (ITC-Asia). IEEE, 2017.

109. Binu D., Kariyappa B. S. A survey on fault diagnosis of analog circuits: Taxonomy and state of the art //AEU-International Journal of Electronics and Communications. Vol. 73, 2017.

110. Tang Y. et al. Based on self-learning dictionary circuit board fault diagnosis device //2017 IEEE 2nd Advanced Information Technology, Electronic and Automation Control Conference (IAEAC). - IEEE, 2017.

111. Klepach M., Klepach M., Kozak L. Analysis of Automation Processes of Printed Circuit Boards Manufacturing Equipment //Modeling, Control and Information Technologies: Proceedings of International scientific and practical conference. 2019.

112. Herasimov S. et al. Statistical analysis of harmonic signals for testing of Electronic Devices //International Journal of Emerging Trends in Engineering Research. Vol. 8, 2020.

113. Blackwell G. R. (ed.). The electronic packaging handbook. - CRC Press, 2017.

114. Han T. et al. Intelligent fault diagnosis method for rotating machinery via dictionary learning and sparse representation-based classification //Measurement. Vol. 118, 2018.

115. Choi S. et al. Fault diagnosis techniques for permanent magnet AC machine and drives—A review of current state of the art //IEEE Transactions on Transportation Electrification. Vol. 4, №. 2, 444-463, 2018.

116. Luo H. et al. A novel approach for analog fault diagnosis based on stochastic signal analysis and improved GHMM //Measurement. Vol. 81, 26-35, 2016.

117. Tadeusiewicz M., Halgas S. A method for local parametric fault diagnosis of a broad class of analog integrated circuits //IEEE Transactions on Instrumentation and Measurement. Vol. 67, №. 2, 328-337, 2017.

118. Song P., He Y., Cui W. Statistical property feature extraction based on FRFT for fault diagnosis of analog circuits //Analog Integrated Circuits and Signal Processing. Vol. 87, №. 3, 427-436, 2016.

119. Deng Y., Liu N. Soft fault diagnosis in analog circuits based on bispectral models //Journal of Electronic Testing. Vol. 33, №. 5, 543-557, 2017.

120. Kumar A., Singh A. P. Fuzzy classifier for fault diagnosis in analog electronic circuits //ISA transactions. Vol. 52, №. 6, 816-824, 2013.

121. Zhao G. et al. A novel approach for analog circuit fault diagnosis based on deep belief network //Measurement. Vol. 121, 170-178, 2018.

122. Tadeusiewicz M., Halgas S., Kuczynski A. New aspects of fault diagnosis of nonlinear analog circuits //International Journal of Electronics and Telecommunications. Vol. 61, №. 1, 83-93, 2016.

123. Tadeusiewicz M., Halgas S. A method for multiple soft fault diagnosis of linear analog circuits //Measurement. Vol. 131, 714-722, 2019.

124. Jahangiri M., Razaghian F. Fault detection in analogue circuits using hybrid evolutionary algorithm and neural network //Analog Integrated Circuits and Signal Processing. Vol. 80, №. 3, 551-556, 2014.

125. Liu Z. et al. Signal model-based fault coding for diagnostics and prognostics of analog electronic circuits //IEEE Transactions on Industrial Electronics. Vol. 64, №. 1. 605-614, 2016.

126. Liu Z. et al. Capturing high-discriminative fault features for electronics-rich analog system via deep learning //IEEE Transactions on Industrial Informatics. Vol. 13, №. 3, 1213-1226, 2017.

127. Vasan A. S. S., Long B., Pecht M. Diagnostics and prognostics method for analog electronic circuits //IEEE Transactions on Industrial Electronics. Vol. 60, №. 11, 5277-5291, 2012.

128. Cui J., Wang Y. A novel approach of analog circuit fault diagnosis using support vector machines classifier //Measurement. Vol. 44, №. 1, 281-289, 2011.

129. Aminian M., Aminian F. A modular fault-diagnostic system for analog electronic circuits using neural networks with wavelet transform as a preprocessor //IEEE Transactions on Instrumentation and Measurement. Vol. 56, №. 5, 15461554, 2017.

130. Binu D., Kariyappa B. S. RideNN: A new rider optimization algorithm-based neural network for fault diagnosis in analog circuits //IEEE Transactions on Instrumentation and Measurement. Vol. 68, №. 1, 2-26, 2018.

131. Pandaram K., Rathnapriya S., Manikandan V. Fault Diagnosis of Linear Analog Electronic Circuit Based on Natural Response Specification using K-NN Algorithm //Journal of Electronic Testing. 1-14, 2021.

132. Belous A., Saladukha V., Shvedau S. Space Microelectronics Volume 2: Integrated Circuit Design for Space Applications. - Artech House, Vol. 2, 2017.

133. Lall P., Pecht M. G., Hakim E. B. Influence of Tempemture on Microelectronics and System Reliability: A Physics of Failure Approach. - CRC press, 2020.

134. Lall P., Pecht M., Hakim E. B. Characterization of functional relationship between temperature and microelectronic reliability //Microelectronics Reliability. Vol. 35, №. 3, 377-402, 1995.

135. Yuan X. et al. Fault diagnosis of analog circuits based on IH-PSO optimized support vector machine //IEEE Access. Vol. 7137945-137958, 2019.

136. Khanlari M., Ehsanian M. An improved KFCM clustering method used for multiple fault diagnosis of analog circuits //Circuits, Systems, and Signal Processing. Vol. 36, №. 9, 3491-3513, 2017.

137. Zhong T. et al. The intermittent fault diagnosis of analog circuits based on EEMD-DBN //Neurocomputing. Vol. 436, 74-91, 2021.

138. Wang L. et al. Parametric fault diagnosis of analog circuits based on a semi-supervised algorithm //Symmetry. Vol. 11, №. 2, 228, 2019.

139. Tang X. et al. Simulation-based diagnostic model for automatic testability analysis of analog circuits //IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. Vol. 37, №. 7,1483-1493, 2017.

140. Fontana G. et al. A fast algorithm for testability analysis of large linear timeinvariant networks //IEEE Transactions on Circuits and Systems I: Regular Papers. -Vol. 64, №. 6, 1564-1575, 2017.

141. Sánchez A., Entrena L., Kastensmidt F. Approximate TMR for selective error mitigation in FPGAs based on testability analysis //2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS). - IEEE, 112-119, 2018.

142. Rajendran S., Lourde M. An efficient software tool based on SCOAP for testability analysis of combinational circuits //Int. J. Simul.-Syst., Sci. Technol. Vol. 20, №. 1, 30.1-30.10, 2019.

143. Grasso F. et al. Single fault diagnosis in analog circuits: a multi-step approach //2017 5th IEEE Workshop on Advances in Information, Electronic and Electrical Engineering (AIEEE). - IEEE,1-5, 2017.

144. Haidi D. et al. Strategy for soft fault diagnosis on analog circuits with tolerance //2017 13th IEEE International Conference on Electronic Measurement & Instruments (ICEMI). - IEEE, 331-335, 2017.

145. Fontana G. et al. Testability analysis based on complex-field fault modeling //2018 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD). - IEEE, 33-36, 2018.

146. Immanuel J., Millican S. K. Calculating signal controllability using neural networks: Improvements to testability analysis and test point insertion //2020 IEEE 29th North Atlantic Test Workshop (NATW). - IEEE, 1-6, 2020.

147. Ma Q. et al. Test point selection method for analog circuit fault diagnosis based on similarity coefficient //Mathematical Problems in Engineering. Vol. 2018, 2018.

148. Bindi M. et al. Smart monitoring and fault diagnosis of joints in high voltage electrical transmission lines //2019 6th International Conference on Soft Computing & Machine Intelligence (ISCMI). - IEEE, 40-44, 2019.

149. Zhang R. et al. Testability analysis on a hydraulic system in a certain equipment based on simulation model //Young Scientists Forum 2017. -International Society for Optics and Photonics, Vol. 10710, 107101T, 2018.

150. Viktorova V. S., Stepanyants A. S. Software for Testability Analysis of Aviation Systems //Advances in Systems Science and Applications. Vol. 21, №. 1, 113-138, 2021.

151. Tripathi S. L. Design for Testability of High-Speed Advance Multipliers: Design for Testability //AI Techniques for Reliability Prediction for Electronic Components. - IGI Global, 175-190, 2020.

152. Xu Z. et al. PCB access impedances extraction method of in-situ integrated circuit //Advanced Electromagnetics. Vol. 7, №. 3, 108-116, 2018.

153. Ge X. et al. An open-circuit fault diagnosis approach for single-phase three-level neutral-point-clamped converters //IEEE Transactions on Power Electronics. Vol. 33, №. 3, 2559-2570, 2017.

154. Zhou D. et al. Submodule voltage similarity-based open-circuit fault diagnosis for modular multilevel converters //IEEE Transactions on Power Electronics. Vol. 34, №. 8, 8008-8016, 2018.

155. Fontana G. et al. A symbolic program for parameter identifiability analysis in systems modeled via equivalent linear time- invariant electrical circuits, with application to electromagnetic harvesters //International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, Vol. 32, №. 4, e2251, 2019.

156. Ashwini S., Sivakumar M. S., Rani S. P. J. V. Design of linear ramp generator for ADC //2017 Fourth International Conference on Signal Processing, Communication and Networking (ICSCN). - IEEE, 1-5, 2017.

157. Chen M. et al. Hardware protection via logic locking test points //IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. Vol. 37, №. 12, 3020-3030, 2018.

158. Malik H., Iqbal A., Yadav A. K. Soft computing in condition monitoring and diagnostics of electrical and mechanical systems //Novel Methods for Condition Monitoring and Diagnostics. - Springer, 499, 2020.

159. Saeedi S., Pishgar S. H., Eslami M. Optimum test point selection method for analog fault dictionary techniques //Analog Integrated Circuits and Signal Processing. -Vol. 100, №. 1,167-179, 2019.

160. Kroworz A., Katunin A. Non-destructive testing of structures using optical and other methods: A review //Structural Durability & Health Monitoring. Vol. 12, №. 1, 2018.

161. Luo H. et al. A new test point selection method for analog continuous parameter fault //Journal of Electronic Testing. Vol. 33, №. 3, 339-352, 2017.

162. Kalpana V., Maheswar R., Nandakumar E. Multiple parametric fault diagnosis using computational intelligence techniques in linear filter circuit //Journal of Ambient Intelligence and Humanized Computing. Vol. 11,№. 11, 5533-5545, 2020.

163. Khanlari M., Ehsanian M. A test point selection approach for DC analog circuits with large number of predefined faults //Analog Integrated Circuits and Signal Processing. Vol. 102, №. 1, 2020.

164. Yang C. et al. Fault diagnosis of analog circuit based on complex model //2017 32nd Youth Academic Annual Conference of Chinese Association of Automation (YAC). - IEEE, 949-952, 2017.

165. Zhou D., Yang S., Tang Y. A voltage-based open-circuit fault detection and isolation approach for modular multilevel converters with model-predictive control //IEEE Transactions on Power Electronics. Vol. 33, №. 11, 9866-9874, 2018.

166. J. Goodman, "Accuracy and efficiency of Monte Carlo method," in Transactions of the International Conference on Numerical Methods in Nuclear Engineering, Montreal, Canada, pp. 430-441, Sep. 1983.

167. С.М. Лышов, И.А. Иванов, А.С. Увайсова, С.С. Увайсова "Расчет разбросов резонансных частот печатных узлов электронных средств", Вестник Кибернетики, том 32, №4, Тюмень : Изд-во ИПОС СО РАН, 2003-, ISSN 1811-7430.

168. Дягилев В.И., Коковин В.А., Увайсов С.У., Увайсова С.С. «Компьютерное моделирование работы силового преобразователя с выходным синусоидальным напряжением» Информационные технологии. 2016. Т. 22. № 4. С. 261-266.

169. Petr Bushmelev, P., Uvaysov, S., Bushmeleva, K., Romaniuk, R., Wojcik, W., Uvaysova, S. "Model of a telecommunication system for monitoring gas leaks from gas pipelines", Conference Paper, March 2019, DOI: 10.1117/12.2522417,

Conference: 18th Conference on Optical Fibers and Their Applications, At

https://www.spiedigitallibrary.org/conference-proceedings-of-

spie/11045/110450P/Model-of-a-telecommunication-system-for-monitoring-gas-

leaks-from/10.1117/12.2522417. short? SSO=1, Volume: Proceedings SPIE

110045.

170. Gurov, E., Uvaysova, S., Uvaysov, S., Wojcik, W. "Evaluation of the minimum necessary order of a passive analog low-pass filter in VHF band using untunable SMD components". Conference Paper March 2019, DOI: 10.1117/12.2522416, Conference: 18th Conference on Optical Fibers and Their Applications.

171. Valery A. Kokovin, Vladimir I. Diagilev, Jaroslav Halik, Svetlana S. Uvaysova "Intelligent Power Electronic Сonverter For Wired and Wireless Distributed Applications", 2019 International Seminar on Electron Devices Design and Production (SED) 978-1-5386-6525-1/19/$31.00 ©2019 IEEE.

172. Kiya Bushmeleva, Svetlana Uvaysova, Oksana Avdeuk, Aida Uvaysova "The System of Automated Circuit Simulation of Electronic Devices", 2019 International Seminar on Electron Devices Design and Production (SED) 978-1-5386-6525-1/19/$31.00 ©2019 IEEE.

173. Kokovin V.A., Uvaysov S.U., Uvaysova S.S. «Real-time sorting and lossless compression of data on FPGA». Moscow Workshop on Electronic and Networking Technologies, MWENT 2018 - Proceedings 1. 2018. С. 1-5.

174. Timchenko L.I., Petrovskiy M.S., Kokryatskay N.I., Stepanikuk D.S., Barylo A.S., Dembitska S.V., Suleimenov B., Zyska T., Uvaysova S., Shedreyeva I. «Algorithm of parallel: hierarchical transformation and its implementation on FPGA» Proceedings of SPIE - The International Society for Optical Engineering Сер. "Photonics Applications in Astronomy, Communications, Industry, and High Energy Physics Experiments 2017" 2017. С. 104451Z.

175. Kupershtein L.M., Martyniuk T.B., Krencin M.D., Kozhemiako A.V., Bezsmertnyi Y., Bezsmertna H., Kolimoldayev M., Smolarz A., Weryska-Bieniasz R., Uvaysova S. «Neural expert decision support system for stroke diagnosis». Proceedings of SPIE - The International Society for Optical Engineering Сер. "Photonics Applications in Astronomy, Communications, Industry, and High Energy Physics Experiments 2017" 2017. С. 104453I.

176. Oliynyk A., Oliynyk E., Pyptiuk O., Dzierzak R., Szatkowska M., Uvaysova S., Kozbekova A. «The human body metabolism process mathematical simulation based on lotka-volterra model». Proceedings of SPIE - The International Society for Optical Engineering Сер. "Photonics Applications in Astronomy,

Communications, Industry, and High Energy Physics Experiments 2017" 2017. С. 104453L.

177. Timchenko L.I., Kokryatskaya N.I., Poplavska A.A., Pavlov S.V., Kobylyanska I.M., Burdenyuk I.I., Wojcik W., Uvaysova S., Orazbekov Z., Kashaganova G. «Bio-inspired approach to multistage image processing»

178. Proceedings of SPIE - The International Society for Optical Engineering Сер. "Photonics Applications in Astronomy, Communications, Industry, and High Energy Physics Experiments 2017" 2017. С. 104453M.

179. Antonenko Y.A., Mustetsov T.N., Hamdi R.R., MaLecka-Massalska T., Dzierlak R., Orshubekov N., Uvaysova S. «Double-compression method for biomedical images». Proceedings of SPIE - The International Society for Optical Engineering Сер. "Photonics Applications in Astronomy, Communications, Industry, and High Energy Physics Experiments 2017" 2017. С. 104453P.

180. V.A. Kokovin, A.A. Evsikov, S.U. Uvaysov, S.S. Uvaysova «Event-based Cooperation of Functional Networking Components in Distributed Technological Systems». Publication Year: 2020, Page(s): 1 - 5, 2020 Moscow Workshop on Electronic and Networking Technologies (MWENT).

181. Petruk, V., Kvaternyuk, S., Uvaysova, S. et al. Assessment of the validity of the diagnosis of damage of tissues by multispectral method using neural network //Przegl^d Elektrotechniczny. - 2017. - Т. 3. - №. 7. - С. 1.

182. Ivanov O.A., Uvaysov S.U., Ivanov I.A., Uvaysova S.S. "The algorithm for battery charge control of renewable energy sources—Wind turbine and solar panel" //2016 International Siberian Conference on Control and Communications (SIBCON). - IEEE, 2016.

183. Kokovin V. A., Uvaysova S. S., Uvaysov S. U. Lossless compression algorithm for use in telecommunication systems //2016 International Siberian Conference on Control and Communications (SIBCON). - IEEE, 2016.

184. Ковалев В.В., Увайсова С.С., Увайсов С.У. "Применение LED спектроэллипсометрии в мониторинге состояния водных сред". Инновационные, информационные и коммуникационные технологии: сборник трудов XV Международной научно-практической конференции/ под.ред. С.У.Увайсов - Москва: Ассоциация выпускников и сотрудников ВВИА им. проф. Жуковского, 2018, с.652 ISSN 2500-1248.

185. Увайсова С.С. «Уточнение отбраковочных допусков на электрические параметры элементов схемы с учетом температурного режима». Межвузовская научно-техническая конференция студентов, аспирантов и молодых специалистов им. Е.В. Арменского Материалы конференции. 2017. С. 266-267.

186. Ivanov O.A, *Uvaysov S.U., Uvaysova S.S. «Clarification of rejection tolerances for electrical parameters of scheme elements with regard to temperature

regime». pp. 558-561. Information Innovative Technologies: Materials of the International scientific-practical conference. / Ed/ Uvaysov S.U., Ivanov I.A. - M.: Association of graduates and employees of AFEA named after prof. Zhukovsky, 2017, 700p. ISSN 2542-1824.

187. Гуров Е.В., Увайсова С.С., Шедреева И.Б., Карнакова Г.Ж. "Метод проектирования аналоговых фильтров УКВ диапазона на сосредоточенных постоянных элементах". Инновационные, информационные и коммуникационные технологии: сборник трудов XIV Международной научно-практической конференции/ под.ред. С.У.Увайсов - Москва: Ассоциация выпускников и сотрудников ВВИА им. проф. Жуковского, 2017, 720с. ISSN 2500-1248.

188. Увайсова С.С. «Анализ особенностей формирования тестов для диагностики аппаратуры методом справочников неисправностей». Межвузовская научно-техническая конференция студентов, аспирантов и молодых специалистов им. Е.В. Арменского Материалы конференции. Московский институт электроники и математики Национального исследовательского университета «Высшая школа экономики». 2016. С. 224. Diagilev V.I., Kokovin V.A., Uvaysov S.U., Uvaysova S.S. «Computer simulation of the power converter with harmonic wave output». International Journal of Information Technologies and Systems Approach. 2016. Т. 22. № 4. С. 261.

189. Стовбун И.Я., Увайсова С.С. «Применение подхода Сешу-Уоксмена для формирования эффективных тестовых воздействий». //Инновации на основе информационных и коммуникационных технологий: Материалы международной научно-практической конференции, 2016 / Отв. ред.: И. А. Иванов; под общ. ред.: С. У. Увайсов; М. С. 436-437.

ПРИЛОЖЕНИЯ

МИНОБРНАУКИ РОССИИ Федеральное государственное бюджетное образовательное учреждение высшего образования «МИРЭА - Российский технологический уииверсит РТУ МИРЭА Просп. Вернадского, д.78, Москва, 119454 Тел: (499) 215 65 65 доб. 1140,факс: (495) 434 92 87 Email: inirea@mirea.ru. http: //www.mirea.ru

«УТВЕРЖДАЮ»

------ утиной работе

-1ИРЭА - Российский ий университет»

A.B. Тимошенко

2022 года

На №

от

АКТ ВНЕДРЕНИЯ

результатов диссертационной работы Увайсовой Светланы Сайгидовны на тему «Генерация диагностических тестов при автоматизированном проектировании электронных средств» в учебный процесс Института радиоэлектроники и информатики РТУ МИРЭА

Настоящим актом удостоверяем, что результаты диссертационной работы Увайсовой С.С. внедрены в учебный процесс института радиоэлектроники и информатики РТУ МИРЭА на кафедре «Конструирования и производства радиоэлектронных средств».

Разработанные в диссертации метод, алгоритмы и программно-методическое обеспечение для формирования эффективных тестовых сигналов и синтеза отбраковочных допусков на электрические параметры комплектующие элементы в процессе автоматизированного схемотехнического проектирования радиоэлектронных средств внедрены в учебный процесс подготовки бакалавров по направлению 11.03.03 и магистров по направлению 11.04.03 - «Конструирование и технология электронных средств» прп проведении лекционных и практических занятий по дисциплинам:

- Моделирование физических процессов при проектировании радиоэлектронных средств;

- Сквозное автоматизированное проектирование радиоэлектронных средств;

- Методы и средства контроля технического состояния конструкций радиоэлектронных средств;

- Автоматизация проектирования электронных средств.

Председатель комиссии: Директор ИРИ, д.ф.-м.н., профессор

Член комиссии: Заведующий кафедрой КПРЭС, д.т.н., профессор —

А.Г. Васильев

С.У. Увайсов

I

УТВЕРЖДАЮ Генеральный директор

—^ментальная мастерская аукаСофт», .т.н., проф.

С. П. Халютин

)22 г.

АКТ

О внедрении результатов диссертационной работы Увайсовой Светланы Сайгидовны

«Генерация диагностических тестов при автоматизированном проектировании

электронных средств»

Комиссия в составе:

председателя - главного конструктора - заместителя генерального директора Жмурова Б.В.

и членов комиссии:

- заместителя гласного конструктора Покоева В.В.

- начальника научно-исследовательского отдела Давидова А.О.

составила настоящий акт о том, что результаты диссертационной работы Увайсовой Светланы Сайгидовны «Генерация диагностических тестов при автоматизированном проектировании электронных средств», а именно разработанные в диссертации метод, алгоритмы и программно-методическое обеспечение для генерации эффективных тестовых воздействий и синтеза отбраковочных допусков на электрические параметры элементов в процессе автоматизированного проектирования электронных средств использовались при выполнении научно-исследовательских и опытно-конструкторских работах, выполненных в ООО «Экспериментальная мастерская НаукаСофт».

Полученное программно-методическое обеспечение использовалось при проведении исследовательских испытаний программно-аппаратных модулей, а также при прохождении предварительных и межведомственных испытаний защитно-коммутационных и распределительных устройств, разработанных в ООО «Экспериментальная мастерская НаукаСофт».

Председатель комиссии:

Б. В. Жмуров

Члены комиссии:

В.В. Покоев

А.О. Давидов

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.