Моделирование процессов механической обработки пластин полупроводниковых и диэлектрических материалов свободным абразивом тема диссертации и автореферата по ВАК РФ 05.27.06, кандидат технических наук Бритвин, Альберт Александрович

  • Бритвин, Альберт Александрович
  • кандидат технических науккандидат технических наук
  • 2006, Москва
  • Специальность ВАК РФ05.27.06
  • Количество страниц 166
Бритвин, Альберт Александрович. Моделирование процессов механической обработки пластин полупроводниковых и диэлектрических материалов свободным абразивом: дис. кандидат технических наук: 05.27.06 - Технология и оборудование для производства полупроводников, материалов и приборов электронной техники. Москва. 2006. 166 с.

Оглавление диссертации кандидат технических наук Бритвин, Альберт Александрович

Введение.

ГЛАВА 1. АНАЛИЗ МОДЕЛЕЙ И МЕХАНИЗМОВ УДАЛЕНИЯ МАТЕРИАЛА С ПОВЕРХНОСТИ ПЛАСТИН ПРИ ИХ ОБРАБОТКЕ СВОБОДНЫМ АБРАЗИВОМ. Equation Chapter (Next) Section 1.

1.1. Абразивный износ, связанный с процессами хрупкого разрушения при многопроволочной резке и двухстороннем шлифовании свободным абразивом.

1.2. Абразивный износ, связанный с упруго-пластической деформацией поверхности, при химико-механическом полировании.

1.3 Обзор моделей процессов механической обработки пластин (ХМП) полупроводниковых и диэлектрических материалов.

1.4 Выводы.

ГЛАВА 2. МЕТОДЫ ИССЛЕДОВАНИЯ МЕХАНИЧЕСКИХ СВОЙСТВ И СТРУКТУРЫ ПРИПОВЕРХНОСТНЫХ НАРУШЕННЫХ СЛОЕВ ОБРАБАТЫВАЕМЫХ МАТЕРИАЛОВ.

2.1 Рентгеновские методы исследования структуры приповерхностных слоев обрабатываемых материалов.

2.1.1 Двукристальная дифрактометрия.

2.1.2 Секционная рентгеновская топография (СРТ).

2.1.3 Рентгеновская топография в скользящей геометрии дифракции.

2.1.4 Исследования на синхротронных источниках рентгеновского излучения.

2.2 Разработка метода рентгеновской диагностики подложек сапфира на синхротронном излучении.

2.3 Метод непрерывного вдавливания индентора. Основы метода и возможности применения в микроэлектронике.

2.4 Определение механических свойств арсенида галлия (111)В и сапфира с ориентацией (0001) и (1012) методом непрерывного вдавливания индентора.

2.5 Определение трещиностойкости в пластинах сапфира различной ориентации (0001) и (1012) и кремния на сапфире (КНС).

2.6 Определение изменений твердости и модуля Юнга верхнего пористого слоя используемых полировальников в процессе их износа при химико-механическом полировании.

2.7 Определение изменений структуры полировальников в процессе их износа при химико-механическом полировании методом сканирующей электронной микроскопии (СЭМ).

2.8 Выводы.

ГЛАВА 3. МОДЕЛИРОВАНИЕ ПРОЦЕССОВ МЕХАНИЧЕСКОЙ ОБРАБОТКИ ПЛАСТИН ПОЛУПРОВОДНИКОВЫХ И ДИЭЛЕКТРИЧЕСКИХ МАТЕРИАЛОВ СВОБОДНЫМ АБРАЗИВОМ.

3.1 Моделирование процессов удаления материала с поверхности пластин при их многопроволочной резке и двухстороннем шлифовании свободным абразивом.

3.2 Моделирование процесса удаления материала с поверхности пластин при химико-механическом полировании на «мягком» полировальнике.

3.2.1 Контактная модель в ХМП.

3.2.2 Полировальник и его поры.

3.2.3 Деформация в системе "пластина-частица" и "полировальник-частица"

3.2.4 Нормальное распределение размеров абразивных частиц.

3.2.5. Скорость удаления материала с поверхности пластины.

3.3 Выводы.

ГЛАВА 4. ЭКСПЕРИМЕНТАЛЬНАЯ ПРОВЕРКА И РЕАЛИЗАЦИЯ РЕЗУЛЬТАТОВ РАБОТЫ.

4.1 Расчеты глубины повреждений для наиболее значимых материалов электронной техники при многопроволочной резке и шлифовании свободным абразивом и их экспериментальная проверка.

4.2 Экспериментальная проверка применимости модели съема при химико-механическом полировании на примерах сапфира и арсенида галлия.

4.3 Исследование подложек сапфира и арсенида галлия методом рентгеновской диагностики.

4.3.1 Исследование подложек сапфира и структур кремния на сапфире

4.3.2. Исследование подложек арсенида галлия (111 )В на двухкристальном дифрактометре.

4.4 Выводы.

Рекомендованный список диссертаций по специальности «Технология и оборудование для производства полупроводников, материалов и приборов электронной техники», 05.27.06 шифр ВАК

Введение диссертации (часть автореферата) на тему «Моделирование процессов механической обработки пластин полупроводниковых и диэлектрических материалов свободным абразивом»

За последние несколько лет в промышленной микроэлектронике произошло существенное увеличение номенклатуры материалов электронной техники (AI2O3, полупроводниковые соединения AmBv, SiC, LiNbCb, Ge и другие). По мере повышения требований к качеству пластин, уменьшения размеров элементов и увеличения степени интеграции СБИС, сопровождающихся уменьшением толщины подзатворного диэлектрика до нескольких нанометров, структура приповерхностного слоя, границы раздела и поверхности пластин начинают оказывать определяющее влияние на характеристики подзатворного диэлектрика. Наряду с этим, одними из основных дефектов исходных пластин, являются механические повреждения, возникающие в течении всех технологических процессов механической обработки материалов электронной техники, начиная с дисковой резки, заменяемой в последнее время на многопроволочную резку (МПР) свободным абразивом и заканчивая химико-механическим полированием (ХМП). Механическая обработка пластин на любом из этапов, начиная с этапа резки, заключается во взаимодействии абразивных частиц с приповерхностными слоями материала и отводе образующихся продуктов взаимодействия из активной зоны. Задача любого последующего после резки технологического процесса обработки - удаление нарушений, возникших на предыдущих этапах обработки и получение плоской, свободной от механических повреждений поверхности. Параметры плоскостности закладываются на операциях шлифования свободным или связанным абразивом, а остаточные приповерхностные повреждения должны удаляться на последующих операциях щелочного или кислотного травления и ХМП. Благодаря относительно недавнему внедрению современного способа обработки материала, такого как МПР свободным абразивом, предполагается в дальнейшем исключить операцию двухстороннего шлифования (ДСШ) свободным абразивом из технологического процесса, как источника внесения дополнительных механических повреждений в пластину.

Однако пока процесс МПР не до конца изучен и после его внедрения в производство были обнаружены существенные присущие этому методу недостатки. Так предварительные исследования и литературные данные показывают, что после многопроволочной резки монокристаллов кремния на пластины на последних остается макрорельеф, амплитуда которого достигает 10 мкм, а по отдельным данным - 30 мкм. Таким образом, пока все равно требуется дополнительная операция планаризации поверхности с использованием ДСШ перед последующей операцией ХМП.

Исходя из вышесказанного следует, что перед каждым технологическим процессом обработки материала нам нужно знать конечный результат используемого процесса для его согласования с последующими процессами съема. Для сокращения сроков разработки новых и усовершенствования прежних процессов механической обработки нам нужно иметь модельные представления каждого из технологических процессов, начиная от процесса МПР свободным абразивом и заканчивая ХМП. Полученные спрогнозированные данные могут быть использованы для оптимизации технологических процессов, при условии, что известны или измерены такие параметры обрабатываемого и обрабатывающего материала, как модуль упругости, твердость, трещиностойкость, а также форма и размер зерна применяемого абразива. Использование этих данных позволяет построить наиболее экономичный размерный ряд обработки различных материалов электронной техники.

Целью диссертационной работы является разработка моделей процессов съема материала с пластин свободным абразивом, с учетом которых можно будет строить экономичный размерный ряд обработки различных материалов электронной техники

Похожие диссертационные работы по специальности «Технология и оборудование для производства полупроводников, материалов и приборов электронной техники», 05.27.06 шифр ВАК

Заключение диссертации по теме «Технология и оборудование для производства полупроводников, материалов и приборов электронной техники», Бритвин, Альберт Александрович

ОБЩИЕ ВЫВОДЫ ПО РАБОТЕ 1. Используя ориентационную матрицу индицирования лауэ-топограмм «Orient Express» провели расчет реальных лауэ-топограмм полученных на синхротронном источнике излучения «Сибирь-2» РНЦ «Курчатовский Институт». С помощью данной методики провели экспрессную аттестацию структурного совершенства подложек сапфира ориентации (0001) и (1012).

2. Используя методику непрерывного микроиндентирования впервые определили механические свойства арсенида галлия (111)В, а также сапфира ориентации (0001) и (1012). Были получены следующие результаты: Е=108.4 ГПа и Н=7.4 ГПа для GaAs (Ш)В, Е=401.4 ГПа и Н=79.2 ГПа для сапфира ориентации (0001) и Е=292.8 ГПа и Н=61.3 ГПа-для(1012).

3. Рассчитали коэффициент трещиностойкости, Кк, пластин сапфира ориентации (0001) и (1012). Получено, что для пластин сапфира с ориентацией поверхности (0001) Kic=5.5 МПа*мш, а для ориентации

I/O

1012) - 6.5 МПа*м . Для структур кремния на сапфире (КНС) получено значение Kic=1.4 МПа*мш.

4. Рассчитали глубины приповерхностных повреждений для германия, фосфида галлия, сапфира и ниобата лития при многопроволочной резке и шлифовании относительно кремния, как основного материала микроэлектроники. В ниобате лития и германии глубина приповерхностных повреждений при шлифовании примерно в 1.65 и 1.33 раза больше соответственно, чем у кремния. В сапфире глубина приповерхностных повреждений при его шлифовании по плоскости

0001) (с - плоскость), (1012) (r-плоскость) и (1120) (а - плоскость) составляет 0.50, 0.71 и 0.68 соответственно от глубины приповерхностных повреждений в кремнии. Подобные расчёты были проведены и для фосфида галлия для операций многопроволочной резки и шлифования свободным абразивом. Глубина повреждений в фосфиде галлия с ориентацией поверхности реза по плоскости (100) после многопроволочной резки практически совпадает с глубиной повреждений в Si (100) после шлифования свободным абразивом. Глубина повреждений в фосфиде галлия с ориентацией поверхности реза по плоскости (111) составляет 0,91 от глубины повреждений в Si (100) после шлифования свободным абразивом. Налицо анизотропия глубины повреждений в фосфиде галлия при многопроволочной резке свободным абразивом для монокристаллов, выращенных в направлениях <100> и <111>. Как и для многопроволочной резки свободным абразивом глубина повреждений при шлифовании фосфида галлия свободным абразивом по плоскости (100) больше, чем по плоскости (111) в соотношении 1,39 к 1,27.

5. Используя методику непрерывного микроиндентирования впервые определили изменение модуля Юнга и истинной твердости верхнего пористого слоя полировальников «Ciegal-7355-ОООЕМ» в процессе их износа при ХМП: в исходном состоянии (0 циклов ХМП) и после проведения 18™ циклов процесса ХМП. Обнаружено, что после проведения 18ш циклов процесса ХМП модуль Юнга несколько вырос с 6.0 МПа до 9.2 МПа. Истинная твердость также выросла с 0.93 МПа до 1.53 МПа.

6. Основываясь на допущениях упругого контакта в системах «пластина-абразив» и «полировальник-абразив», нормального распределения размера абразива и периодичности шероховатости поверхности полировальника, разработана модель прогнозирования съема материала с поверхности обрабатываемого материала мягким полировальником в процессе ХМП. Наша модель предполагает интеграцию не только параметров собственно ХМП (давление, скорость), но также и остальных важных входных параметров, включая твердость пластины (Hw), модуль упругости и твердость полировальника (Ер и Нр), шероховатость полировальника, средний размер и отклонение от среднего размера абразивной частицы.

7. Разработанная модель процесса удаления материала с поверхности пластины при ХМП была проверена на примере использования мягкого полировальника типа «Ciegal-7355-OOOFM» для полирования пластан сапфира ориентации (0001) и (1012), кремния ориентации (100) и арсенида галлия (111 )В. Рассчитывали скорость съема (нм/мин) для всех четырех материалов для «свежего» полировальника (0 циклов) и «изношенного» полировальника (18 - циклов). Для материала сравнения - кремния (100) найдено удовлетворительное согласие между рассчитанной по разработанной модели скорости съема - 390 нм/мин и экспериментально определенной - 400 нм/мин. Для всех четырех материалов наблюдали примерно одинаковое уменьшение скорости съема по мере износа полировальника (18 -циклов).

8. Методами секционной рентгеновской топографии, двухкристальной рентгеновской топографии в скользящей геометрии дифракции, а также Лауэ-топографии на отражение в синхротронном излучении исследованы реальные подложки сапфира ориентации (0001) (с -плоскость) и (1012) (г - плоскость), структуры КНС на подложке сапфира (1012). Для пластин сапфира ориентации (0001) следует, что дислокации располагаются в плоскости базиса и практически параллельны друг другу. Ориентировочные оценки плотности дислокаций дают значение ~2*102 см"2 для направления роста (0001). Для пластин сапфира с ориентацией поверхности (1012) дислокации располагаются наклонно к исследуемой поверхности и четко визуализируются выходы дислокаций на поверхность («торчковые дислокации»). Плотность таких дислокаций составляет см .

После наращивания слоя кремния на ХМП поверхность сапфира ориентации (1012) на секционных рентгенотопограммах возрастает контрастность изображения деформированных приповерхностных слоев (КНС - структуры). Для GaAs (111)В физическое уширение полированной поверхности составляет 24.2 угл.с. при глубине информативного слоя, равной 0.65 мкм.

ЗАКЛЮЧЕНИЕ

Подложки арсенида галлия и фосфида галлия являются началом длинного пути превращения пластин в интеллектуальный продукт. Их качество, в том числе дефектность собственно монокристаллов и приповерхностных слоев подложек, во многом определяют возможности достижения поставленной цели.

Информация о нарушениях приповерхностных слоев подложек, возникающих при химико-механическом полировании в действующем производстве, была получена по исключительному благоприятствованию и поддержке сотрудников РНЦ «Курчатовский Институт», Института Машиноведения РАН и ГИРЕДМЕТ. Это позволило не только существенно дополнить картину явлений, происходящих при этом виде обработки, но и дает надежду на повышение качества и выхода годных изделий в производстве микро-, опто- и акусто - электронных устройств. В работе изучалась одна из составляющих процесса ХМП - силовое воздействие на поверхность наночастиц диоксида кремния на заключительной (финишной) стадии полирования на полировальнике «Ciegal-7355-OOOFM». Результаты исследования изложены ниже как общие выводы.

Список литературы диссертационного исследования кандидат технических наук Бритвин, Альберт Александрович, 2006 год

1. Концевой Ю.А., Литвинов Ю.М., Фаттахов Э.А. Пластичность и прочность полупроводниковых материалов и структур. - М.: Радио и связь, 1982-240 с.

2. Н. А. Большаков, Ю.М. Литвинов, А.А. Раскин, С.П. Яковлев. Новое в технологии обработки пластин кремния большого диаметра для изготовления СБИС // Зарубежная электронная техника 2000, №4 (430), с. 17-29.

3. Lambropoulos J., Xu S., Fang Т., "Loose abrasive lapping hardness of optical glasses and its interpretation", Applied Optics, 36 (7), pp. 1501-1516,1997 v

4. A.G. Evans, T.R. Wilshaw. Quasi-static solid particle damage in brittle solids. I. Observation, analysis and implications. // Acta Metallurgica 1976, Vol. 24, № 10, pp. 939-956.

5. Буренков Ю.А., Никаноров С.П., // Физика твердого тела, 1984. т. 26. вып.1 I.e. 3224-3232. .

6. Zhao В., Shi F. G. Chemical mechanical polishing: Threshold pressure and mechanism. Electrochem. Solid-State Lett. 1999, v.2 No. 3, pp. 145-147

7. Basim G.B., Adler J.J., Mahajan U., Siugh R.K., Mondgil B.M. Effect particle size of chemical mechanical polishing slurries for enhanced polishing with minimal defects. J. Electrochem. Soc. 2000, v. 147, No.9, pp. 3523-3528

8. Alekseev N. M., Goldstein R.V., Osipenko N.M. Izv. AN SSSR. Mekhanika Tverdogo Tela. 1992, v.27., No.5, pp. 134-143

9. Goldstein R.V., Osipenko N. M. Fracture aspects of the chemical mechanical polishing. Abstr. Of the Euromech colloquium 418 "Fracture Aspects in Manufacturing", 25-29 Sep. 2000, Moscow, p. 18.

10. Liu W., Dai В., Tseng W., Yeh C., "Modelling of the wear mechanism during chemical-mechanical polishing", J. Electrochem. Soc., 143 (2), pp. 716 721, 1996

11. Brown N. J., Baker P. C., Maney R. Т., 1981, "Optical polishing of metals", Proc. SPIE, vol.306, pp. 42-57

12. Preston F. W., "The theory and design of plate glass polishing machines", J. Soc. Glass Technology, 1927, vol.11, pp. 214-256

13. A.A. Бритвин, Ю.М. Литвинов, В.Ф. Павлов. Рентгеновские методы аттестации пластин сапфира и структур кремний на сапфире. // Тез. докл. «Кремний 2004», Иркутск, 2004, стр. 114

14. Cook L.M., "Chemical processes in glass polishing", J. Non-Crystaline Solids, vol. 120, pp. 152-171

15. Yu T-K., Yu С. C., Orlowski M., "A statical polishing pad model for chemical-mechanical polishing", 1993, Proc. IEEE Int. Electron Dev, pp. 865868.

16. Макаров A.C., Неустроев C.A., Литвинов Ю.М., Литвинов М.Ю. Атомный механизм процесса химико-механического полирования // Известия ВУЗов. Электроника. 2000, № 3, с. 34-37

17. Горелик С.С., Скаков Ю.А., Расторгуев Л.Н. Рентгенографический и электронно-оптический анализ: Учебное пособие для вузов. М: МИСИС, 1994, - 328с.

18. A.M. Афанасьев, П.А. Александров, P.M. Имамов. Рентгенодифракционная диагностика субмикронных слоев. М., Наука, -1989, 152с.

19. Д.К. Боуэн, Б.К. Таннер Высокоразрешающая рентгеновская дифрактометрия и топография — М.: Наука, 2002. — 275

20. Н. Shiwaku, К. Hyodo, M.Ando. X-ray characterization of lapped surface Siand Ge single crystals at 33.17 keV. Jap. J. Appl. Phys. Pt.2, 1991, v.31, pp.2065-2067.

21. Павлов В.Ф. Отчет по НИР "Разработка неразрушающей рентгенотопографической методики оценки структурного совершенства тонких мембранных композиций". М., ГИРЕДМЕТ 1994, 51 с.

22. Отчет по НИР «Разработка прецизионных рентгеновских методов контроля и мониторинг технологических процессов подготовки пластин кремния диаметром 150 мм» 4.1. ГИРЕДМЕТ, М.,1998,- с.44.

23. Tuomi Т., Naukkarinen К., Rabe P. Phys. Stat Sol. (а). 1974. Vol. 25. P. 93 -99.

24. Hart M. J. Appl. Crystallogr. 1975. Vol. 8. P. 436-442.

25. Сагателян Г.Р., Шульга В.Г., Хохлов А.И. Минимизация формируемой на операции одностороннего полирования разнотолщинности тонких кремниевых пластин // Вестник МГТУ, Сер. Приборостроение, 1995, №3, с. 109-120

26. Chen Q.M., McNally P.J., Shvyd Yu.V., Tuomi Т., Danilewsky A.N., Lerche M. J. Dislocation analysis for heat-exchanger method grown sapphire with white beam synchrotron X-ray topography // J. Crystal Growth. 2003. 252, 103 113

27. Sheremetyev LA., Turbal A.V., Litvinov Yu.M, Mikhailov M.A. Computer deciphering of Laue patterns: application to white beam synchrotron X-ray topography. // Nucl. Instrum. and Meth. in Phys. Res. 1991. A308, 447

28. Бритвин A.A, Литвинов М.Ю., Литвинов Ю.М., Мальвинова O.B., Хуснетдинов И.А. Прогнозирование глубины приповерхностных повреждений в материалах электронной техники при их обработке свободным абразивом. //Электронная промышленность. 2003. В.З, с. 97101

29. Булычев СИ., Алехин В.П. Испытание материалов непрерывным вдавливанием индентора. М.: Машиностроение, 1990. - 224с

30. Bhushan В., in: «Handbook of Micro/Nano Tribology», edited by B. Bhushan (CRC Press, Boca Raton London, New York, Washington. 2001). Chap. 10. P. 434-523

31. Fischer-Cripps A.C. Nanoindentation. Springer Verlag, New York, 2002.

32. Алехин В.П., Литвинов М.Ю., Литвинов Ю.М., Скворцов В.Н. // Известия ВУЗов. Материалы электронной техники. 2000. № 3.

33. Oliver W.C., Pharr G.M. // J. Mater. Res. 1992. V. 7, No.6. P. 1564-1583

34. Sakai M. // Acta metall. Mater. 1993. V. 41. No.6, pp. 1751-1758

35. Levinstein M., Rumyantsev, Shur M. "Handbook Series in Semiconductor Parameters." World Sceintific, London, 1999

36. Ericson F., Schwetz J.-A., in "Handbook of Micro/Nano Tribology", edited by B.Bhushan (CRC Press, Boca Raton, London, New York, Washington) 2001. Chap. 15. p. 763-795.

37. Капкин Д.В., Литвинов Ю.М., Литвинов М.Ю., Скворцов В.Н. // Труды Седьмой Межд. Научно-Техн. Конференции "Актуальные Проблемы Твердотельной Электроники и Микроэлектроники", ч. 1, Таганрог, Россия, 2000. С. 157-159

38. Литвинов М.Ю., Скворцов В.Н., Алехин В.П., Литвинов Ю.М. // Известия ВУЗов. Материалы Электронной Техники. 2001. № 3. С. 13-15.

39. Bujis М., Korpel van Houten К. // J. Mater. Sci. 1993. V. 28. No. 15. P. 3015-3020.

40. Suresh S„ Giannakopoulos A.E. // Acta mater. 1998. V. 46. No. 16. P. 57555767.

41. A.A. Бритвин, М.Ю. Литвинов, Ю.М. Литвинов. Разрушение и глубина приповерхностных повреждений возникающих в пластинах сапфира приего обработке свободным абразивом. // «Вестник Тамбовский Университет.», Тамбов, 2003, том. 8, вып. 4, стр. 686-688

42. Pizani P.S., Lanciotti F., Jasinevicius R.G., Duduch J.G., Porto A.J. // J. AppLPhys. 2000. V. 87. No.3. P. 1280-1283

43. Zhang L., Zarudi I. // Internat. J. of Mech. Sci. 2001. V. 43. № 9. P. 19851996.

44. Литвинов Ю.М., Литвинов М.Ю. // Изв. вузов. Материалы электронной техники, 2004. №4. С.11 -16.

45. Бритвин А.А., Яриков A.M. Тезисы докладов 12м Всероссийской научно-технической конференции студентов и аспирантов "Микроэлектроника и информатика 2005" - Москва, 2005. С.9.

46. Patriarche G., Lе Bourhis Е., Largeau L., Riviere J. P. // Phus. stat. sol. (c). 2005. V.2. № 6. P. 2004 - 2009

47. D. Castillo Mejia, S. Gold, V. Burrows, S. Berundoin. // J. Electrochem. Soc. 2003. V. 150. №2. P. g76 - g82

48. S. Deshpand, S. Dakshinamurthy, S.C. Kuiry, R. Vaidyanathan, Y.S. Obeng, S. Seal. // Thin Solids Films. 2005. V. 483. №1 2. P. 261 - 269.

49. Колесников Ю.В., Морозов E.M. // Механика контактного разрушения. -М.: Наука, 1989.-224с.

50. Lambropoulos J. С., Xu S., Fang T. // Appl. Optics. 1997. V. 36. № 7. P. 15011516.

51. Roberts S. G. // Scripta Mater. 1999. V. 40. № 1. P. 101-108.

52. C.B. Ponton and R.D. Rawlings, Mater. Sci. Technol. 5, 865 (1989).

53. C.B. Ponton and R.D. Rawlings, Mater. Sci. Technol. 5, 961 (1989).

54. B.R. Lawn, A.G. Evans, and D.B. Marshall, J. Am. Ceram. Soc. 63, 574 (1980)

55. G.R. Anstis, P. Chantikul, B.R. Lawn, and D.B. Marshall, J. Am. Ceram. Soc.64, 533 (1981)

56. Литвинов Ю.М., Литвинов М.Ю., Мальвинова O.B., Хуснетдинов И.А.,

57. Яковлев С.П. //Труды Восьмой Международной Научно Технической Конференции «Актуальные проблемы твердотельной электроники и микроэлектроники» - Таганрог, Россия. 2002. 4.1. с. 163 - 166

58. Алёхин В.П., Литвинов М.Ю., Литвинов Ю.М., Скворцов В.Н. //Тезисыдокладов Всероссийской конференции «Дефекты структуры и прочность кристаллов» Черноголовка, Россия. 2002. с. 191

59. Britvin А.А., Litvinov M.Yu., Litvinov Yu.M., Yakonlev S.P. // The 8th

60. Scientific and Business Conference (Silicon -2002).-Roznov pod Radhostem, Czech Republic. 2002. P. 160-169.

61. Литвинов МЮ, Скворцов B.H., Алехин В П., Литвинов Ю.М.

62. Определение трещиностойкости хрупких материалов с помощью метода непрерывного вдавливания индентора Изв вузов. Материалы электронной техники. 2001, вып.З с. 13-15

63. V.H. Bulsara, Y.Ahn, S.Chandrasecar, T.N. Farris. Mechanics of polishing.

64. Trans. ASME. J. Appl.Mech-1998, vol. 65, No.2, pp.410-416.

65. Scott A. Gold, V.A. Burrows "Interaction of water with silicon dioxide at lowtemperature relevant to CMP" Electrochemical and Solid-State Letters, 7 (12) G295-G298 (2004)

66. S. R. Runnels and L. M. Eyman, "Physical modeling of CMP fluid flowanalysis," Sematech Rep., 1993.

67. D. Wang, J. Lee, К. Holland, Т. Bibby, S. Beaudoin, and T. Cale, "Von misesstress in chemical-mechanical polishing processes," J. Electrochem. Soc., vol. 144, pp. 1121-1127,(1997). t,

68. Y. Moon, "Mechanical aspects of the material removal mechanism in chemicalmechanical polishing (CMP)," Ph.D. dissertation, Department of Mechanical Engineering, University of California at Berkeley, Berkeley, CA, USA, 1999.

69. W. T. Tseng and Y. L. Wang, "Re-examination of pressure and speeddependence of removal rate during chemical-mechanical polishing processes," J. Electrochem. Soc., vol. 144, pp. L15-L17, (1997). A

70. К. Рак, Y. R. Park, U.-I. Chung, Y. В. Koh, and M. Y. Lee, "A CMP processusing a fast oxide slurry," in Proc. Second Int. Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conf., Santa Clara, С A, 1997, pp. 299-306.

71. J. A. Greenwood and J. B. Williamson, "Contact of nominally flat surfaces,"

72. Proc. Royal Soc. London A, vol. 295, pp. 300-319, 1966.

73. K. L. Johnson, Contact Mechanics. Cambridge, MA: Cambridge University1. Press, 1985.

74. В. Zhao and F. G. Shi, "Chemical mechanical polishing in 1С processes: Newfundamental insights," in Proc. Fourth Int. Chemical-Mechnical Planarization for ULSI Multilevel Interconnection Conf, Santa Clara, CA, Feb. 11-12, 1999, pp.13-22.

75. F. Zhang, A. A. Busnaina, and G. Ahmadi, "Particle adhesion and removal inchemical mechanical polishing and post-CMP cleaning," J. Electrochem. Soc., f vol. 146, pp. 2665-2669, 1999

76. Т. Bifano, Т. A. Dow, and R. О. Scattergood, "Ductile-regime grinding: A newtechnology for machining brittle materials," ASME Trans. J. Eng. Industry, vol. 113, pp. 184-189,1991.

77. R. Chauhan, Y. Ahn, S. Chandrasekar, and T. N. Farris, "Role of indentationfracture in free abrasive machining of ceramics," Wear, vol. 162-164, Part A, pp. 246-257, 1993.

78. H. Bulsara, Y. Ahn, S. Chanrasekar, and T. N. Farris, "Polishing and lapping temperatures," ASME Trans. J. TriboL, vol. 119, pp. 163-170, 1997.

79. M.Ward and D.W. Hadley, An Introduction to Mechanical Properties of Solid Polymers. New York: Wiley, 1993.

80. M. Ward, Mechanical Properties of Solid Polymers. New York:Wiley, 1983.

81. J. Watanabe, G. Yu, 0. Eryu, I. Koshiyama, K. Izumi, K. Nakashima "High precision chemical mechanical polishing of highly-boron-doped Si wafer for epitaxial substrate." Precision Engineering., 2005, (29) pp. 151-156.

82. Scholz , G. A. Schneider, J. Munoz-Saldana and M. V. Swain "Fracture toughness from submicron derived indentation cracks", Applied Physics Letters, vol. 84, №16, pp.3055-3057, (2004)

83. B.R. Lawn, A.G. Evans, D.B.Marshall // J. Am. Ceram. Soc. Vol.73, p. 574 (1990)

84. D.S. Harding, W.C. Oliver, G.M.Pharr // Mater. Res. Soc. Symp. Proc., vol.356, p.633, (1995)

85. J.S. Field, M.V. Swain, R.D. Dukino // J. Mater. Res., vol.18, p.1412 (2003)

86. В.Г. Шульга, И.И. Данилов // Проектирование технологических процессов изготовления интегральных микросхем. Часть II. МГТУ им. Н.Э. Баумана, 2002

87. Zhao Y.; Chang L. // A micro-contact and wear model for chemical-mechanical polishing of silicon wafers. Wear, vol. 252, 2002, pp. 220-226

88. J. Bagdahn, J. Schischka, M. Petzold, W. N. Sharpe and other "Fracture toughness and fatigue investigations of polycrystalline silicon". Proceedings of SPIE Vol. 4558 (2001), pp. 159-168

89. Ю.И. Головин, C.H. Дуб, В.И. Иволгин, B.B. Коренков, А.И. Тюрин // Кинетические особенности деформации твердых тел в нано- и микрообъемах. Физика твердого тела, 2005, том 47, вып. 6, стр. 961-973.

90. Xueping Li, Takashi Kasai, Shigeki Nakao, Taeko Ando, Mitsuhiro Shikida, Kazuo Sato, Hiroshi Tanaka. // Measurement for fracture toughness of single crystal silicon film with tensile test. Sensors and Actuators A, №119, 2005, pp. 229-235.

91. Guanghui Fu, A. Chandra, S. Guha, Ghatu Subhash // A Plasticity-Based Model of material Removal in Chemical-Mechanical Polishing (CMP). IEEE Transactions on Semiconductor Manufacturing, vol. 14, No. 4, Nov. 2001, pp. 406-417.

92. Jianfeng Luo, D.A. Dornfeld // Optimization of CMP from the Viewpoint of Consumable Effects. Journal of the Electrochemical Society, 150 (12), 2003, pp. G807-G815.

93. Swetha Thagella, A.K. Sikder, Ashok Kumar // Tribological Issue and Modeling of Removal rate of Low-k Films in CMP. Journal of the Electrochemical Society, 151 (3), 2004, pp. G205-G215.

94. Yeau-Ren Jeng, Pay-Yau Huang, Wen-Chueh Pan // Tribological Analysis of CMP with Partial Asperity Contact. Journal of the Electrochemical Society, 150 (10), 2003, pp. G630-G637.

95. Kuide Qin, Brij Moudgil, Chang-Won Park // A chemical mechanical polishing model incorporating both the chemical and mechanical effects. Thin Solid Films, vol. 446, No.2, 2004, pp.277-286.is

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.