Плазменные процессы роста и травления нитридных материалов тема диссертации и автореферата по ВАК РФ 01.04.08, кандидат наук Барсуков Юрий Владимирович

  • Барсуков Юрий Владимирович
  • кандидат науккандидат наук
  • 2022, ФГАОУ ВО «Санкт-Петербургский политехнический университет Петра Великого»
  • Специальность ВАК РФ01.04.08
  • Количество страниц 96
Барсуков Юрий Владимирович. Плазменные процессы роста и травления нитридных материалов: дис. кандидат наук: 01.04.08 - Физика плазмы. ФГАОУ ВО «Санкт-Петербургский политехнический университет Петра Великого». 2022. 96 с.

Оглавление диссертации кандидат наук Барсуков Юрий Владимирович

Введение

Актуальность работы

Цели и задачи работы

Научная новизна

Практическая и научная значимость

Защищаемые положения

Достоверность результатов

Апробация работы

Публикации

Личный вклад автора

Структура и объем диссертации

Глава 1. Состояние проблемы, постановка задачи

1.1. Травление кремнийсодержащих материалов

1.2. Роль N0 в травление нитрида кремния

1.3. Травление газообразным фтороводородом

1.4. Синтез нанотрубок нитрида бора

1.5. Выводы к главе

Глава 2. Механизм травление нитрида кремния газовым разрядом смеси NFз/02

2.1 Установка травления DFE

2.2 Экспериментальные данные по травлению

2.3 Диагностика и моделирование разряда в NFз/02 смеси

2.4 Моделирование поверхностных реакций (квантовая химия)

2.5 Механизм травления Бв^ с участием F и N0

2.6 Аналитическая модель травления Бв^ с участием F и N0

2.7 Резюме

Глава 3. Реагенты, ускоряющие травление в присутствие атомарного фтора

7.1 Квантовохимическое моделирование

3.2 Обсуждение результатов моделирования

7.2 Резюме

Глава 4. Механизм травление нитрида кремния газовым разрядом в смеси

№з/02/№/Ш

4.1 Экспериментальная установка

4.2 Экспериментальные данные по травлению

4.3 Гипотеза о ОТ(у)

4.4 Моделирование плазмы разряда в смеси NF3/O2/N2/H2

4.5 Квантовохимическое моделирование

4.6 Аналитическая модель

4.7 Резюме

Глава 5. Реактивно ионное травление нитрида кремния в разрядах SF6/H2 и SFe/De (изотопный эффект)

5.1 Установка травления и диагностика

5.2 Данные актинометрии

5.3 Экспериментальные данные по травлению

5.4 Резюме

Глава 6. Образование прекурсоров роста нанотрубок нитрида бора при высокотемпературном синтезе: термодинамический и кинетический приближения

6.1 Описание вычислительных методов

6.2 Стабильность кластеров BmNn

6.3 Термодинамическая модель: состав равновесной смеси

6.4 Фиксация молекулярного азота в результате реакций с малыми кластерами бора

6.5 Кинетическое моделирование процесса фиксации N2

6.6 Ab initio молекулярная динамика

6.7 Сворачивание моноциклических колец в фуллборены

6.8 Резюме

Заключение

Литература

Введение

Рекомендованный список диссертаций по специальности «Физика плазмы», 01.04.08 шифр ВАК

Введение диссертации (часть автореферата) на тему «Плазменные процессы роста и травления нитридных материалов»

Актуальность работы

В последнее время широкое распространение в полупроводниковой промышленности получили плазменные методы обработки и изготовления полупроводниковых элементов и приборов. В частности, наибольший интерес представляют методы «сухого» изотропного травления на FEOL (Front end of line) этапе производства интегральных микросхем памяти по технологии КМОП (комплементарная структура метал-оксид-полупроводник) [1]. «Сухое» травление происходит при взаимодействии материала с газовой средой, которая формируется из нейтральных реагентов, получаемых в удаленной низкотемпературной плазме газового высокочастотного (ВЧ) разряда. Установки для такого типа травления (DFE или damage free etcher) конструируются таким образом, что источник плазмы газового разряда (RPS или remote plasma source) находится в существенном удалении от травящегося образца, чтобы исключить негативное воздействие ионов и УФ излучения на структуру поверхности. На одном из этапов производства 3D-NAND флэш памяти формируется структура с поочередно меняющимися слоями из оксида (SiO2) и нитрида (SÍ3N4) кремния. Далее нитрид кремния (жертвенный слой) удаляется, и оставшаяся полость заполняется вольфрамом (стадия металлизации) [2]. В настоящее время для высокоселективного травления нитрида кремния относительно оксида кремния применяется горячий раствор ортофосфорной кислоты [3,4]. Под Si3N4/SiO2 селективность травления понимается отношение скорости травления (ER или etch rate) нитрида кремния к скорости травления оксида кремния ER(Si3N4)/ER(SiO2). Плазменное травление в будущем должно полностью вытеснить жидкостное, поэтому изучить процесс высокоселективного травления Si3N4 удаленным источником плазмы - актуальная задача для полупроводниковой промышленности.

Другое важное применение плазменных технологий - это синтез новых материалов. Так нитрид бора BN, изоэлектронный аналог углерода, может образовывать схожие с углеродом структуры [5]: фуллборены (0D), нанотрубки (1D), гексагональные графеноподобные листы (2D), алмазоподобные кристаллы (3D). Большинство из этих структур не встречается в природе и может быть получено только путем синтеза. Благодаря полярности связи B-N (связь C-C неполярная) соединения нитрида бора обладают рядом уникальных свойств. Так

4

наноструктуры BN устойчивы к химическому воздействию [6] и нагреванию [7]. Кроме того бездефектные наноструктуры BN имеют достаточно большую толщину запрещенной зоны (~ 5 эВ) [8], и могут применяться как широкозонные полупроводники в оптоэлектронике [9]. Так, нанотрубки нитрида бора были синтезированы при высоких температурах в лабораторных установках с дуговым разрядом [10,11] или горелкой индуктивно связанной плазмы [12-14]. Несмотря на это, до сих пор не удалось достигнуть воспроизводимости в процессе роста нанотрубок нитрида бора для крупномасштабного производства нанотрубок BN высокого качества. Обеспечить эффективное потребление бора очень важно для крупномасштабного производства нанотрубок нитрида бора (НТНБ) высокого качества. Поэтому изучить и определить ключевые процессы при росте нанотрубок при высокотемпературном синтезе в равновесной плазме - актуальная задача для современной промышленности.

Цели и задачи работы

Основная цель данной работы - определить оптимальные параметры газовых разрядов для плазменного травления нитрида кремния (с высокой селективностью относительно оксида кремния) и для синтеза нанотрубок нитрида бора высокого качества и с высоким выходом. Для этого предполагается определить химически активные реагенты, которые получаются в плазме газовых разрядов фторсодержащих смесей (главным образом №з/02 и Шз^/^/Ш), которые инициируют травление нитрида кремния без травления оксида кремния. А также изучить механизм фиксации молекулярного азота и образования прекурсоров роста нанотрубок нитрида бора, и определить основные факторы, влияющие на потребление бора в процессе высокотемпературного синтеза.

Были поставлены и решены следующие задачи:

1. Проведение ряда экспериментов по травлению и БЮ2 удаленным источником плазмы газового разряда в смесях ОТз/02 и №з/02/№/Н2 для определения механизма травления и условий, в которых нитрид кремния травится с высокой селективностью относительно оксида кремния.

2. С помощью квантовохимического моделирования определить возможные реагенты для травления Б1зШ4, разработать механизм травления и рассчитать константы скоростей поверхностных реакций.

3. Разработать аналитическую модель травления на основании предложенного механизма, описывающую взаимосвязь концентраций газофазных реагентов, получаемых в газовом разряде, и скорости травления Б1зШ4.

4. Провести сравнение аналитической модели с экспериментальными данными и показать правильность модели. Разработать модель ВЧ разряда в смесях №з/02 и NFз/O2/N2/H2. Провести измерения концентраций радикалов и молекул в газовой фазе методами масс-спектрометрии и актинометрии.

5. На основании экспериментальных данных и данных моделирования определить наиболее эффективные реагенты для высокоселективного травления SiзN4 относительно

SiO2.

6. Рассчитать термодинамические потенциалы (энергии Гиббса) для B-N молекул, для которых потенциалы Гиббса неизвестны. При помощи метода минимизации свободной энергии Гиббса всей системы определить равновесный состав смеси при различных температурах и давлениях.

7. Провести моделирование реакций и рассчитать константы скоростей этих реакций в газовой фазе между молекулярным азотом и малыми кластерами бора, в результате которых образуются B-N молекулы (процесс фиксации N2).

8. Для рассчитанных скоростей реакций составить систему кинетических уравнений, описывающую процесс фиксации азота и образование B-N молекул (прекурсоров роста нанотрубок нитрида бора в охлаждающейся газовой смеси).

Научная новизна

1. Было показано, что основными реагентами плазмохимического травления нитрида кремния, которые образуются в разряде в смеси №3/02, являются атомарный фтор и окись азота NO. При этом, NO селективно ускоряет травление SiзN4 только в присутствии атомарного фтора. Так как атомарный фтор травит и нитрид кремния, и его оксид, то селективность такого травления не может быть очень высокой.

2. На основании данных квантовохимического расчета разработан механизм травления SiзN4 атомарным фтором и NO. Согласно предложенному механизму в результате последовательных реакций атомарного фтора с поверхностью нитрида кремния, образуются новые связи Б + ~Si-N-Si~ = ~Si-F + Б + = Б-К^К Далее, N0, реагируя с Б-К связями фторированной поверхностью, ускоряет миграцию атомарного фтора с атома азота на соседний атом кремния: N0 + Б-Ы^- = N20 + В результате этих реакций образуются летучие продукты SiF4 и N20, и скорость травление нитрида кремния увеличивается. Предложенная аналитическая модель количественно описывает зависимость скорости травления нитрида кремния от потоков атомарного фтора и окиси азота N0 на поверхность.

3. По аналогии с молекулой N0, проведено квантово-химическое моделирование реакций НБ, С1, Н, Вг и БШ0 с поверхностной связью Б-Ш. Согласно данным моделирования рассмотренные реагенты также ускоряют миграцию атома фтора с Б-Ш связи на соседний атом кремния фторированной поверхности нитрида кремния. Полученные данные качественно описывают ранее опубликованные данные.

4. Впервые экспериментально показано, что кривая зависимости селективности травления нитрида кремния от подаваемого потока Н2 в зону послесвечения разряда смеси №з/02/№ имеет максимум в узком диапазоне потока Н2. А именно, селективность травления нитрида кремния достигает максимума вблизи точки, где концентрация атомарного фтора равна концентрации молекулярного водорода ([Б]~[Ш]).

5. Было показано, что концентрация колебательно-возбужденных молекул НБ(у) имеет максимальное значение вблизи той же точки [Б]~[Ш].

6. Разработан механизм и аналитическая модель травления и БЮ2 удаленной плазмой в газовом разряде №У02/№/Н2 смеси. В предложенном механизме основными реагентами для травления как оксида, так и нитрида кремния являются Б-атомы и молекулы НБ в основном и колебательно-возбужденном состоянии. При этом невозбужденная молекула НБ может травить рассматриваемые поверхности только в присутствие катализатора, в качестве которого для данной смеси выступает молекула воды. Предложенная аналитическая модель описывает скорость травления нитрида и оксида кремния от потоков Б, НБ(у=0), НБ(у=1) и Н2О.

7. На основании данных моделирования и сопоставления этих данных с экспериментальными данными по травлению и БЮ2, впервые было показано, что молекулы НБ(у) в колебательно-возбуждённом состоянии способны избирательно активировать травление нитрида кремния, не реагируя с оксидом кремния, что приводит к высокой Б1зШ4/8102 селективности травления.

8. Впервые был продемонстрирован изотопный эффект в реактивном ионном травлении - уменьшение селективности при замене водорода на дейтерий в смеси ББб/Ш.

9. Впервые был предложен механизм фиксации молекулярного азота и образования В-Ш молекул (прекурсоров роста нанотрубок нитрида бора при высокотемпературном синтезе). Было показано, что азот диссоциативно адсорбируется на малых кластерах бора (Вт) при температурах ниже температуры конденсации бора. В конечном итоге, в результате реакции п/2Ш + Вт образуются молекулы ВтШп в виде линейных цепочек, где п<12 и т=п+1 или п. При этом эти молекулы ВтШп являются наиболее стабильными В-Ш соединениями в узком диапазоне температур (~2600-3000К при давлении 1 а1т).

10. Было показано, что процесс фиксации молекулярного азота - лимитирующая стадия, от которой зависит потребление бора в В/№ смеси. При увеличении давления и снижении скорости охлаждения газа потребление бора увеличивается, что создает благоприятные условия для синтеза нанотрубок нитрида бора высокого качества и с высоким выходом.

Практическая и научная значимость

1. Впервые показано, что колебательно-возбуждённые молекулы НБ(у) инициируют высокоселективное травление нитрила кремния без катализатора.

2. Предложены новые реагенты для ускоренного травления нитрида в присутствие атомарного фтора. Было показано, что ОТ, С1, Н, Вг и FNO являются более эффективными активаторами травления SiзN4, чем N0.

3. Впервые показано, что поглощение бора при высокотемпературном синтезе нанотрубок нитрида бора определяется реакциями между молекулярным азотом и малыми кластерами бора (фиксация N2). При этом система не успевает достигать равновесия при охлаждении газа с типичными для этого процесса скоростями. Было показано, что фиксация N2 и поглощение бора увеличиваются при повышении давления и уменьшении скорости охлаждения газа.

4. Впервые показано, что молекулы В-^ в виде линейных цепочек и колец - это самые стабильные В-^ соединения в узком диапазоне температур (-2600-3000К при давлении 1 а1т).

Защищаемые положения

1. Скорость травления нитрида кремния удаленным источником плазмы газового разряда смеси №3/02 определяется потоками атомарного фтора и окиси азота на поверхность, а скорость травления оксида кремния зависит от потока атомарного фтора. При этом атомарный фтор является как агентом фторирования поверхности, так и агентом травления. Окись азота, взаимодействуя со фторированной поверхностью нитрида кремния, ускоряет травление. В связи с этим, роль N0 в травлении нитрида кремния смесью N3/02 проявляется только в присутствие атомарного фтора, поэтому SiзN4/Si02 селективность травления для такой химии ограничена потоком атомарного фтора.

2. Высокоселективное SiзN4/Si02 травление удаленным источником разряда смеси NFз/02/N2/H2 может быть получено при выборе таких условий процесса, когда концентрации атомарного фтора и водорода в реакционной смеси примерно равны [Б] ~ [Н2]. Основными реагентами в таких условиях травления являются Б, НБ(у=0), НБ(у=1) и Ш0.

3. Колебательно-возбужденные молекулы HF(v) способны инициировать травление нитрила кремния без катализатора с высокой селективностью относительно оксида кремния.

4. Потребление жидкого бора при высокотемпературном синтезе нанотрубок нитрида бора определяется эффективностью процесса фиксации молекулярного азота. Фиксация N2 происходит в результате диссоциативной адсорбции N2 на малых кластерах бора Bm.

5. При высокотемпературном синтезе нанотрубок процесс фиксации молекулярного азота, а значит и потребление бора, происходит в неравновесном режиме при типичных для такого синтеза скоростях охлаждения газа.

6. Высокое давление, низкая скорость охлаждения газа и малая фракция бора в начальной смеси способствует более эффективному потреблению бора при высокотемпературном синтезе нанотрубок.

7. Молекулы B-N в виде линейных цепочек и колец термодинамически наиболее стабильные соединения в узком диапазоне температур (выше температуры роста нанотрубок (~ 2400 K) и ниже температуры конденсации бора).

Достоверность результатов

Обеспечивается применением современного оборудования и методов измерений, воспроизводимостью экспериментальных результатов, полученных в разных установках. Результаты находятся в соответствии с теоретической моделью, не противоречат ранее известным литературным данным, неоднократно апробированы на международных и российских конференциях, научных семинарах.

Апробация работы

Материалы диссертации неоднократно докладывали и обсуждались на семинарах кафедры оптики физического факультета СПбГУ, кафедре квантовой химии химического факультета СПбГУ, лаборатории квантовой химии ПИЯФ, кафедре физики плазмы ИФНиТ СПбПУ, в лаборатории физики плазмы и физических основ микро-технологии (отдел микроэлектроники, НИИ ядерной физики им. Д.В. Скобельцына, МГУ им. М.В. Ломоносова), в компании ООО «Коддан Технолоджис» (СПб, Россия), компании "Corial" (Гренобль, Франция), LLC "Samsung Electronics" (Сувон, Южная Корея), в лаборатории физики плазмы Принстонского университета (Princeton Plasma Physics Lab, США), в компании Applied Materials (Калифорния, США).

По материалам работы представлены доклады на 5 конференциях:

- 24th International Symposium on Plasma Chemistry (Naples (Italy), June 9-14 2019)

- Nature - "Advances and Applications in Plasma Physics" (Saint-Petersburg (Russia), September 18-20 2019).

- International Conference PhysicA.SPb Saint-Petersburg (Russia), 2020.

- The 73rd Annual Gaseous Electronics Conference (virtual), 2020

- 63rd Annual Meeting of the APS Division of Plasma Physics (virtual), 2020 Публикации

[1] Yu. Barsukov, V. Volynets, S. Lee, G. Kim, B. Lee, S.K. Nam, and K. Han. Role of NO in highly selective SiN/SiO2 and SiN/Si etching with NF3/O2 remote plasma: Experiment and simulation // J. Vac. Sci. Technol. A. 2017, V. 35, P. 061310. DOI: 10.1116/1.5004546.

[2] Yu.V. Barsukov, V. Volynets, A.A. Kobelev, N.A. Andrianov, A.V. Tulub, and A.S. Smirnov. Enhanced silicon nitride etching in the presence of F atoms: Quantum chemistry simulation // J. Vac. Sci. Technol. A. 2018, V. 36, P. 061301. DOI: 10.1116/1.5044647.

[3] V. Volynets, V. Protopopov, Y.D. Kim, Yu. Barsukov, S.H. Lee, and S.H. Jang. Pulsed plasma analyzer and method for analyzing the same // US Patent. 2018, 0130651 A1.

[4] G.J. Kim, Yu. Barsukov, V. Volynets, D. Liu, S.J. An, B.J. Lee, and S. Patel. Etching method using remote plasma source, and method of fabricating semiconductor devise including the etching method // US Patent. 2018, 0374709 A1.

[5] P. Pankratiev, Yu. Barsukov, A. Vinogradov, V. Volynets, A. Kobelev, A.S. Smirnov. Selective SiN/SiO2 etching by SF6/H2/Ar/He Plasma // AIP Conf. Proc. 2179. 2019, P. 020017. DOI: 10.1063/1.5135490.

[6] V. Volynets, Yu. Barsukov, G.J. Kim, J.E. Jung, S.K. Nam, K. Han, S. Huang, and M.J. Kushner. Highly selective Si3N4/SiO2 etching using an NF3/N2/O2/H2 remote plasma. I. Plasma source and critical fluxes // J. Vac. Sci. Technol. A. 2020, V. 38, P. 023007. DOI: 10.1116/1.5125568.

[7] J.E. Jung, Yu. Barsukov, V. Volynets, G. Kim, S.K. Nam, K. Han, S. Huang, and M.J. Kushner. Highly selective Si3N4/SiO2 etching using an NF3/N2/O2/H2 remote plasma. II. Surface reaction mechanism // J. Vac. Sci. Technol. A. 2020, V. 38, P. 023008. DOI: 10.1116/1.5125569.

[8] P.A. Pankratiev, Yu.V. Barsukov, A.A. Kobelev, A.Ya. Vinogradov, I.V. Miroshnikov, and A.S. Smirnov. Etching of Si3N4 by SF6/H2 and SF6/D2 plasmas // J Phys.: Conf. Ser. 2020, V. 1697, P. 012222. DOI: 10.1088/1742-6596/1697/1/012222.

[9] Yu. Barsukov, O. Dwivedi, I. Kaganovich, S. Jubin, A. Khrabry, and S. Ethier. Boron nitride nanotube precursor formation during high-temperature synthesis: kinetic and thermodynamic modelling // Nanotechnology, 2021, V. 32, P. 475604. DOI: 10.1088/1361-6528/ac1c20.

Личный вклад автора

Все представленные в диссертационной работе оригинальные результаты получены автором лично или при его прямом участии. Автор принимал решающее участие в проведение всех описанных экспериментальных измерениях, обработки экспериментальных данных, им было проведено квантовохимическое моделировании, разработан механизм и аналитическая модель травления.

Все результаты исследовательской работы, представленной в диссертации, были получены в компании Samsung Electronics и Высшей школе фундаментальных физических исследований Физико-Механического факультета Санкт-Петербургского политехнического университета Петра Великого.

Структура и объем диссертации

Работа состоит из введения, 6 глав и заключения. Общий объем 96 страниц, включающих 43 рисунка, 5 таблиц и списка литературы из 180 наименований.

Глава 1. Состояние проблемы, постановка задачи

1.1. Травление кремнийсодержащих материалов

Для травления кремнийсодержащих материалов используются газовые разряды

фторсодержащих смесей. Известно, что атомарный фтор, который может получаться в таких

разрядах, травит 81эШ [15], 8Ю2 [16] и 81 [17] при комнатной температуре, так как фтор

образует летучие соединения с кремнием (81Б2 и 81Б4). Другие галогены, такие как хлор и

бром, так же способны образовывать летучие соединения 81С1х и 81Вгх, но они более инертны

и применяются только для травления сильно легированного кремния п-типа [18-20]. При

этом сильно легированный кремний п-типа травится значительно быстрее, чем слабо

легированный кремний р-типа. Такая зависимость от примесей объясняется смещением

уровня Ферми, что способствует образованию С1- иона на поверхности легированный

кремния п-типа [18]. Это приводит к образованию электрического поля, в результате чего

ион хлора дрейфует через поверхностный слой 81С1х. Тип легирования также влияет на

скорость реакции ХеБ2 с 81(111), в результате которой образуется летучий продукт 81Б4 [21].

Также было показано, что скорость травления зависит от толщины фторированного слоя 81Бх

на поверхности, и была предложена модель [21], которая указывает на сильную корреляцию

между количеством отрицательных ионов на поверхности и скоростью травления. Травление

нелегированного кремния атомарным хлором возможно при наличии дополнительного

воздействия на поверхность, такие как ионная бомбардировка, УФ излучением или

нагревание выше 525 С0 [22-30]. Связь 81-С1 менее прочная, чем связь 81-Б (4.3 и 6.0 эВ

соответственно), кроме того, хлор имеет больший атомный радиус. Оба этих фактора

должны увеличить барьер реакции хлора с травящейся поверхностью и делают хлор более

инертным реагентом по сравнению со фтором. Другой реагент, химически схожий с

галогенами - атомарный водород, который также может использоваться для травления

кремния, причем скорость травление сильно зависит от температуры. Так было показано, что

при Т = 60 - 80 С0 скорость травления 81 достигает пика (>1100 Л/б) и резко падает при

большем нагревании [31]. При этом травление кремния останавливается, если в газе

присутствует примесь кислорода (7 X 10-5) [31]. Кислород окисляет поверхность в 81О2, что

приводит к ее пассивации. Атомы кислорода на пассивированной поверхности кремния

могут быть удалены ионной бомбардировкой, тогда травление возобновляется. Механизм

12

взаимодействия атомарного водорода с поверхностью кремния изучался методами квантовой химии в работе [32].

Таким образом, для травления кремния атомарным фтором используются газовые разряды в смесях, содержащих Б2 [33-35], СБ4/02 [36,37], №з[38], SF6 [38-40]. При этом было замечено, что в вероятность реакции атомарного фтора с поверхностью кремния существенно выше, если фтор был получен в разряде в SF6 [17,41]. В оптических спектрах разряда в SF6 были обнаружены яркие полосы, относящиеся к димеру S2 [42,43]. Вероятно, что поверхность кремния активируется S, S2, S2Fx, SFx, что делает её более реакционноспособной по отношению ко фтору [41]. Следует отметить, что в разряде SF6 наблюдается селективное травление SiзN4 относительно Si02, при этом добавление Н2 в разряд SF6 практически не влияет на скорость травления оксида [44].

При травлении Si02 чаще всего требуется высокая анизотропия и селективность относительно Si и SiзN4 [2,45,46]. Здесь наиболее подходящим процессом является разряд фторуглеродных газов, в которых одновременно происходит осаждение фторуглеродной пленки и реактивное ионное травление [47-51], при этом образуются стабильные летучие соединения, такие как SiF4, С0, С02 и С0Б2. В [52] впервые было показано в разряде в СБ4, что как F, так и CF2, которые получаются при диссоциации CF4 электронным ударом, увеличивают скорость реактивного ионного травления Si02. Усиление травления за счет F значительно больше, чем за счет CF2. Была предложена модель, которая показывает, что вероятность прилипания F и CF2 увеличивается с ростом энергии бомбардирующих ионов. Такое анизотропное травления применяется для получения горизонтальных каналов нанометрового размера [45]. При этом, СБ2 частицы осаждаются на горизонтальных поверхностях, на которые попадает значительно меньше ионов, образую углеродную пленку. Углеродная пленка пассивирует горизонтальные поверхности, предотвращая ее травление. При помощи Оже-спектроскопии было показано, что на Si формируется более толстая пленка, чем на Si02 [53]. Высокая селективность травления Si02 относительно Si объясняется разницей в толщине этих пленок. Таким образом, при травлении канала Si02 на боковых стенках осаждается фторуглеродная пленка, которая подавляет травление, из-за низкого потока ионов на боковые стенки. При этом, глубина канала увеличивается, так как ионы достигая дна канала активируют травление, при этом углерод пленки связывается с кислородом оксида кремния, образуя летучие соединения С0, С02, С0Б2. Скорость осаждения фторуглеродной пленки зависит от состава газа в разряде и от энергии ионов, чем ниже энергия ионов, тем быстрее осаждение [54-56]. Таким образом, контролируя скорость осаждения пленки, можно добиться высоких селективностей травления оксида кремния

относительно кремния. Некоторые процессы травления оксида кремния во фторуглеродном разряде были рассмотрены в моделировании [57]. Было показано, что ионы теряют энергию при взаимодействии со фторуглеродной пленкой, поэтому скорости травления оксида кремния обычно уменьшаются как квадратный корень разницы энергии ионов и порогового значения энергии распыления, следовательно, чем толще пленка, тем медленнее скорость травления. При взаимодействии разряда с поверхность диэлектрика последняя заряжается отрицательным зарядом, что, как считается, может привести к остановки травления [58-71]. При этом наблюдается интересный эффект, а именно профиль травящегося канала искривляется или «скручивается» [63] из-за того, что поверхностный заряд изменяет поток ионов, распыляющих травящуюся поверхность [72].

Атомарный фтор - это химически активный реагент, травящий с относительно высокой скоростью Si3N4, SiO2 и Si и не требующий при этом активации поверхности ионной бомбардировкой. В связи с этим, для селективного травления нитрида кремния в присутствие атомарного фтора, необходимо выполнение двух условий: низкая концентрация атомарного фтора и наличие реагента, ускоряющего травление Si3N4. В качестве такого реагента может выступать окись азота (NO).

1.2. Роль NO в травление нитрида кремния

В работе [73], в которой использовалась DFE (defect free etcher) установка с существенно удаленным разрядом (заряженные частицы не взаимодействуют с травящимся образцом), впервые было показано, что небольшая добавка молекулярного азота (5% N2) в газовый разряд в смеси CF4/O2 приводит к увеличению скорости травления Si3N4 в 7 раз. При этом добавление азота в зону послесвечения не влияет на травление. А добавка N2 больше 5% в зону свечения не приводит к еще большему увеличению скорости травления. В работе [74] было показано, что при добавлении 10% N2 в микроволновый разряд в смеси CF4/O2 концентрация NO и скорость травления нитрида кремния увеличиваются в 6 раз, при этом скорость травления оксида кремния уменьшается на 8%. При этом сигнал от NO, получаемый масс-спектрометром в зоне послесвечения, где находятся травящиеся образцы, существенно уменьшается в процессе травления нитрида кремния. Более того, было показано, что добавление газа NO как в разряд, так и в зону послесвечения, приводит к росту скорости травления нитрида кремния. Актинометрические измерения показали, что производство атомарного фтора в зоне свечения не меняется при добавлении в разряд молекулярного азота, а скорость травления коррелирует с сигналом NO в масс-спектрометрах [75]. XPS анализ поверхности показал, что фтор - это основной принесенный элемент реакционного слоя нитрида кремния. Схожие результаты были получены и для смеси NF3/O2 [76]. Скорость

14

травления нитрида кремния существенно увеличивается при добавлении кислорода в разряд N3 для соотношения №з/02 = 0.3. При этом концентрация N0 и скорость травления нитрида кремния зависят от 02 одинаковыми образом [76]. Важным для понимания механизма травления нитрида кремния является результаты следующих измерений: при добавлении N0 в зону послесвечения в масс-спектре появляется сигнал с массой 44, что соответствует молекуле N20 [77]. Авторы предположили, что N20 образуется в результате следующих поверхностных реакций:

1. Б^) + 81^^00) ^ 81Б4^) + ^игГасе)

2. Б^) + SiN(surface) ^ 81Б4^) + N2^)

3. N0^) + ^ийасе) ^ №0^).

Таким образом, было определено, что основными продуктами травления нитрида кремния удаленным разрядом в фторсодержащих газах в присутствие N0 - это тетрафторид кремния 81Б4 и молекулярный азот, при этом образуется небольшое количество N20 [78]. Дальнейшие исследования, в которых в качестве источника атомарного азота использовались следующие газы - СБ4 [79], №з [79,80], 8Б6 [80,81], показали, что селективность травления нитрида кремния относительно оксида кремния для таких разрядах не превышает 100.

Похожие диссертационные работы по специальности «Физика плазмы», 01.04.08 шифр ВАК

Список литературы диссертационного исследования кандидат наук Барсуков Юрий Владимирович, 2022 год

Литература

1. Tao Z. et al. FEOL dry etch process challenges of ultimate FinFET scaling and next generation device architectures beyond N3 // Proc.SPIE. 2020. Vol. 11329.

2. Lill T. Atomic Layer Processing. Willey-VCH, 2021.

3. Bassett D.W., Rotondaro A.L.P. Silica Formation during Etching of Silicon Nitride in Phosphoric Acid // Solid State Phenom. Trans Tech Publications Ltd, 2016. Vol. 255. P. 285-290.

4. Bassett D., Printz W., Furukawa T. Etching of Silicon Nitride in 3D NAND Structures // ECS Trans. 2015. Vol. 69, № 8. P. 159-167.

5. Arenal R., Lopez-Bezanilla A. Boron nitride materials: an overview from 0D to 3D (nano)structures // WIREs Comput. Mol. Sci. John Wiley & Sons, Ltd, 2015. Vol. 5, № 4. P. 299-309.

6. Liu Z. et al. Ultrathin high-temperature oxidation-resistant coatings of hexagonal boron nitride. // Nat. Commun. England, 2013. Vol. 4. P. 2541.

7. Eichler J., Lesniak C. Boron nitride (BN) and BN composites for high-temperature applications // J. Eur. Ceram. Soc. 2008. Vol. 28, № 5. P. 1105-1109.

8. Arenal R., Blase X., Loiseau A. Boron-nitride and boron-carbonitride nanotubes: synthesis, characterization and theory // Adv. Phys. Taylor & Francis, 2010. Vol. 59, № 2. P. 101 -179.

9. Mirkarimi P.B., McCarty K.F., Medlin D.L. Review of advances in cubic boron nitride film synthesis // Mater. Sci. Eng. R Reports. 1997. Vol. 21, № 2. P. 47-100.

10. Cumings J., Zettl A. Mass-production of boron nitride double-wall nanotubes and nanococoons // Chem. Phys. Lett. Vol. 316. P. 211.

11. Yeh Y.-W. et al. Stable synthesis of few-layered boron nitride nanotubes by anodic arc discharge // Sci. Rep. 2017. Vol. 7. P. 3075.

12. Fathalizadeh A. et al. Scaled Synthesis of Boron Nitride Nanotubes, Nanoribbons, and Nanococoons Using Direct Feedstock Injection into an Extended-Pressure, Inductively-Coupled Thermal Plasma // Nano Lett. American Chemical Society, 2014. Vol. 14, № 8. P. 4881-4886.

13. Kim K.S. et al. Hydrogen-Catalyzed, Pilot-Scale Production of Small-Diameter Boron Nitride Nanotubes and Their Macroscopic Assemblies // ACS Nano. American Chemical Society, 2014. Vol. 8, № 6. P. 6211-6220.

14. Kim K.S. et al. Role of Hydrogen in High-Yield Growth of Boron Nitride Nanotubes at Atmospheric Pressure by Induction Thermal Plasma // ACS Nano. American Chemical Society, 2018. Vol. 12, № 1. P. 884-893.

15. Loewenstein L.M. Temperature dependence of silicon nitride etching by atomic fluorine // J. Appl. Phys. American Institute of Physics, 1989. Vol. 65, № 1. P. 386-387.

16. Flamm D.L., Mogab C.J., Sklaver E.R. Reaction of fluorine atoms with SiO2 // J. Appl. Phys. American Institute of Physics, 1979. Vol. 50, № 10. P. 6211-6213.

17. Donnelly V.M. Review Article: Reactions of fluorine atoms with silicon, revisited, again // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2017. Vol. 35, № 5. P. 05C202.

18. Ogryzlo E.A. et al. Doping and crystallographic effects in Cl-atom etching of silicon // J. Appl. Phys. American Institute of Physics, 1990. Vol. 67, № 6. P. 3115-3120.

19. Walker Z.H., Ogryzlo E.A. Rate constants for the reaction of Cl atoms with intrinsic and n+-doped polycrystalline silicon // J. Appl. Phys. American Institute of Physics, 1991. Vol. 69, № 1. P. 548-549.

20. Walker Z.H., Ogryzlo E.A. Rate constants for the etching of intrinsic and doped polycrystalline silicon by bromine atoms // J. Appl. Phys. American Institute of Physics, 1991. Vol. 69, № 4. P. 2635-2638.

21. Winters H.F., Haarer D. Influence of doping on the etching of Si(111) // Phys. Rev. B. American Physical Society, 1987. Vol. 36, № 12. P. 6613-6623.

22. Flamm D. Mechanisms of silicon etching in fluorine-and chlorine-containing plasmas // Pure and Applied Chemistry. 1990. Vol. 62, № 9. P. 1709-1720.

23. Park S.-D. et al. Precise Depth Control of Silicon Etching Using Chlorine Atomic Layer Etching // Jpn. J. Appl. Phys. IOP Publishing, 2005. Vol. 44, № 1A. P. 389-393.

24. Kullmer R., Bauerle D. Laser-induced chemical etching of silicon in chlorine atmosphere // Appl. Phys. A. 1988. Vol. 47, № 4. P. 377-386.

25. Coburn J.W. Chlorine-enhanced F-atom etching of silicon // J. Vac. Sci. Technol. A. American Vacuum Society, 1994. Vol. 12, № 3. P. 617-619.

26. Jinnai B. et al. Role of UV Irradiation during Si Etching Process in Chlorine Plasma // Fifth international symposium on control of semiconductor interfaces. 2007.

27. Samukawa S. et al. Surface Reaction Enhancement by UV irradiation during Si Etching Process with Chlorine Atom Beam // Jpn. J. Appl. Phys. IOP Publishing, 2007. Vol. 46, № No. 3. P. L64-L66.

28. Violette K.E. et al. On the Role of Chlorine in Selective Silicon Epitaxy by Chemical Vapor Deposition // J. Electrochem. Soc. The Electrochemical Society, 1996. Vol. 143, № 10. P. 3290-3296.

29. Szabo A., Farrall P.D., Engel T. Reactions of chlorine with Si(100) and Si(111): adsorption and desorption kinetics // Surf. Sci. 1994. Vol. 312, № 3. P. 284-300.

30. Chung K.H., Sturm J.C. Chlorine Etching for In-Situ Low-Temperature Silicon Surface Cleaning for Epitaxy Applications // ECS Trans. The Electrochemical Society, 2019. Vol. 6, № 1. P. 401-407.

31. Veprek S., Wang C., Veprek-Heijman M.G.J. Role of oxygen impurities in etching of silicon by atomic hydrogen // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2008. Vol. 26, № 3. P. 313-320.

32. Hiraoka Y.S. Elementary Processes of Si(001) Etching by Atomic Hydrogen // Jpn. J. Appl. Phys. IOP Publishing, 2002. Vol. 41, № Part 1, No. 2A. P. 784-790.

33. Flamm D.L., Donnelly V.M., Mucha J.A. The reaction of fluorine atoms with silicon // J. Appl. Phys. American Institute of Physics, 1981. Vol. 52, № 5. P. 3633-3639.

34

35

36

37

38

39

40

41

42

43

44

45

46

47

48

49

50

Vasile M.J., Stevie F.A. Reaction of atomic fluorine with silicon: The gas phase products // J. Appl. Phys. American Institute of Physics, 1982. Vol. 53, № 5. P. 3799-3805.

Ninomiya K. et al. Reaction of atomic fluorine with silicon // J. Appl. Phys. American Institute of Physics, 1985. Vol. 58, № 3. P. 1177-1182.

Mogab C.J., Adams A.C., Flamm D.L. Plasma etching of Si and SiO2—The effect of oxygen additions to CF4 plasmas // J. Appl. Phys. American Institute of Physics, 1978. Vol. 49, № 7. P. 3796-3803.

Lee Y.H., Chen M. Silicon etching mechanism and anisotropy in CF4+O2 plasma // J. Appl. Phys. American Institute of Physics, 1983. Vol. 54, № 10. P. 5966-5973.

Hays D.C. et al. Comparison of F 2-Based Gases for High-Rate Dry Etching of Si // J. Electrochem. Soc. The Electrochemical Society, 1999. Vol. 146, № 10. P. 3812-3816.

Herrick A., Perry A.J., Boswell R.W. Etching silicon by SF6 in a continuous and pulsed power helicon reactor // J. Vac. Sci. Technol. A. American Vacuum Society, 2003. Vol. 21, № 4. P. 955-966.

Belen R.J. et al. Feature-scale model of Si etching in SF6/O2 plasma and comparison with experiments // J. Vac. Sci. Technol. A. American Vacuum Society, 2005. Vol. 23, № 5. P. 1430-1439.

Arora P. et al. Role of sulfur in catalyzing fluorine atom fast etching of silicon with smooth surface morphology // J. Vac. Sci. Technol. A. American Vacuum Society, 2019. Vol. 37, № 6. P. 61303.

Sadeghi N. et al. Kinetics of formation of sulfur dimers in pure SF6 and SF6-O2 discharges // Plasma Chem. Plasma Process. 1990. Vol. 10. P. 553-569.

Greenberg K.E., Hargis P.J. Detection of sulfur dimers in SF6 and SF6/O2 plasma-etching discharges // Appl. Phys. Lett. American Institute of Physics, 1989. Vol. 54, № 14. P. 1374-1376.

Pankratiev P. et al. Selective SiN/SiO2 etching by SF6/H2/Ar/He plasma // AIP Conf. Proc. American Institute of Physics, 2019. Vol. 2179, № 1. P. 20017.

Donnelly V.M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2013. Vol. 31, № 5. P. 050825.

Lee C.G.N., Kanarik K.J., Gottscho R.A. The grand challenges of plasma etching: a manufacturing perspective // J. Phys. D. Appl. Phys. IOP Publishing, 2014. Vol. 47, № 27. P. 273001.

Oehrlein G.S. et al. Fluorocarbon high-density plasmas. I. Fluorocarbon film deposition and etching using CF4 and CHF3 // J. Vac. Sci. Technol. A. American Vacuum Society, 1994. Vol. 12, № 2. P. 323-332.

Oehrlein G.S. et al. Fluorocarbon high-density plasmas. II. Silicon dioxide and silicon etching using CF4 and CHF3 // J. Vac. Sci. Technol. A. American Vacuum Society, 1994. Vol. 12, № 2. P. 333-344.

Lin K.-Y. et al. Achieving ultrahigh etching selectivity of SiO 2 over Si 3 N 4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors // J. Vac. Sci. Technol. A. 2018. Vol. 36, № 4. P. 040601.

Metzler D. et al. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma // J. Vac. Sci. Technol. A. American Vacuum Society,

88

2015. Vol. 34, № 1. P. 01B101.

51. Li C. et al. Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric // J. Vac. Sci. Technol. A. American Vacuum Society, 2016. Vol. 34, № 3. P. 31306.

52. Butterbaugh J.W., Gray D.C., Sawin H.H. Plasma-surface interactions in fluorocarbon etching of silicon dioxide // J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom. American Institute of Physics, 1991. Vol. 9, № 3. P. 1461-1470.

53. Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching // J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom. American Institute of Physics, 1993. Vol. 11, № 4. P. 1243-1257.

54. Standaert T.E.F.M. et al. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // J. Vac. Sci. Technol. A. American Vacuum Society, 2003. Vol. 22, № 1. P. 53-60.

55. Oehrlein G.S. Surface processes in low pressure plasmas // Surf. Sci. 1997. Vol. 386, № 1. P. 222-230.

56. Schaepkens M., Oehrlein G.S. A Review of SiO[sub 2] Etching Studies in Inductively Coupled Fluorocarbon Plasmas // J. Electrochem. Soc. The Electrochemical Society, 2001. Vol. 148, № 3. P. C211.

57. Sankaran A., Kushner M.J. Fluorocarbon plasma etching and profile evolution of porous low-dielectric-constant silica // Appl. Phys. Lett. American Institute of Physics, 2003. Vol. 82, № 12. P. 1824-1826.

58. Economou D.J., Alkire R.C. Effect of Potential Field on Ion Deflection and Shape Evolution of Trenches during Plasma-Assisted Etching // J. Electrochem. Soc. The Electrochemical Society, 1988. Vol. 135, № 4. P. 941-949.

59. Murakawa S., McVittie J.P. Mechanism of Surface Charging Effects on Etching Profile Defects // Jpn. J. Appl. Phys. IOP Publishing, 1994. Vol. 33, № Part 1, No. 4B. P. 21842188.

60. Kamata T., Arimoto H. Charge build-up in Si-processing plasma caused by electron shading effect // J. Appl. Phys. American Institute of Physics, 1996. Vol. 80, № 5. P. 2637-2642.

61. Hwang G.S., Giapis K.P. On the origin of the notching effect during etching in uniform high density plasmas // J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. Process. Meas. Phenom. American Institute of Physics, 1997. Vol. 15, № 1. P. 70-87.

62. Giapis K.P., Hwang G.S. Pattern-Dependent Charging and the Role of Electron Tunneling // Jpn. J. Appl. Phys. IOP Publishing, 1998. Vol. 37, № Part 1, No. 4B. P. 2281-2290.

63. Matsui J. et al. The effect of topographical local charging on the etching of deep-submicron structures in SiO2 as a function of aspect ratio // Appl. Phys. Lett. American Institute of Physics, 2001. Vol. 78, № 7. P. 883-885.

64. Murakawa S., McVittie J.P. Direct Measurement of Surface Charging during Plasma Etching // Jpn. J. Appl. Phys. IOP Publishing, 1994. Vol. 33, № Part 1, No. 7B. P. 44464449.

65. Ulacia F. J.I., McVittie J.P. A two-dimensional computer simulation for dry etching using

66

67

68

69

70

71

72

73

74

75

76

77

78

79

80

81

Monte Carlo techniques // J. Appl. Phys. American Institute of Physics, 1989. Vol. 65, № 4. P. 1484-1491.

Hashimoto K. New Phenomena of Charge Damage in Plasma Etching: Heavy Damage Only through Dense-Line Antenna // Jpn. J. Appl. Phys. 1993. Vol. 32. P. 6109-6113.

Ootera H. et al. Simulation of Ion Trajectories near Submicron-Patterned Surface Including Effects of Local Charging and Ion Drift Velocity toward Wafer // Jpn. J. Appl. Phys. 1994. Vol. 33. P. 4276-4280.

Sakamori S. et al. Evaluation of Electron Shading Charge Buildup Damage Using Metal-Nitride-Oxide-Silicon Capacitors // Jpn. J. Appl. Phys. IOP Publishing, 1997. Vol. 36, № Part 1, No. 4B. P. 2521-2525.

Chi K.K. et al. Effects of Conductivity of Polysilicon on Profile Distortion // Jpn. J. Appl. Phys. IOP Publishing, 1996. Vol. 35, № Part 1, No. 4B. P. 2440-2444.

Arita K., Asano T. Gas Species Dependent Charge Build-Up in Reactive Ion Etching // Jpn. J. Appl. Phys. IOP Publishing, 1996. Vol. 35, № Part 1, No. 12B. P. 6534-6539.

Ogino S. et al. Influence of Poly-Si Potential on Profile Distortion Caused by Charge Accumulation // Jpn. J. Appl. Phys. IOP Publishing, 1996. Vol. 35, № Part 1, No. 4B. P. 2445-2449.

Wang M., Kushner M.J. High energy electron fluxes in dc-augmented capacitively coupled plasmas. II. Effects on twisting in high aspect ratio etching of dielectrics // J. Appl. Phys. American Institute of Physics, 2010. Vol. 107, № 2. P. 23309.

Beulens J.J. et al. Chemical downstream etching of silicon-nitride and polycrystalline silicon using CF4/O2/N2: Surface chemical effects of O2 and N2 additives // Appl. Phys. Lett. American Institute of Physics, 1995. Vol. 66, № 20. P. 2634-2636.

Blain M.G., Meisenheimer T.L., Stevens J.E. Role of nitrogen in the downstream etching of silicon nitride // J. Vac. Sci. Technol. A. 1996. Vol. 14, № 4. P. 2151-2157.

Kastenmeier B.E.E. et al. Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures // J. Vac. Sci. Technol. A. 1996. Vol. 14, № 5. P. 2802-2813.

Kastenmeier B.E.E. et al. Remote plasma etching of silicon nitride and silicon dioxide using NF3/O2 gas mixtures // J. Vac. Sci. Technol. A. 1998. Vol. 16, № 4. P. 2047-2056.

Blain M.G. Mechanism of nitrogen removal from silicon nitride by nitric oxide // J. Vac. Sci. Technol. A. 1999. Vol. 665, № August 1998. P. 1998-2000.

Kastenmeier B.E.E. et al. Surface etching mechanism of silicon nitride in fluorine and nitric oxide containing plasmas // J. Vac. Sci. Technol. A. 2001. Vol. 19, № 1. P. 25-30.

Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide // J. Vac. Sci. Technol. A. 1999. Vol. 17, № 6. P. 31793184.

Reyes-Betanzo C. et al. Mechanisms of silicon nitride etching by electron cyclotron resonance plasmas using SF[sub 6]- and NF[sub 3]-based gas mixtures // J. Vac. Sci. Technol. A. 2004. Vol. 22, № 4. P. 1513.

Reyes-Betanzo C. et al. Silicon nitride etching in high- and low-density plasmas using SF 6 / O 2 / N 2 mixtures Silicon nitride etching in high- and low-density plasmas using SF 6 Ö O 2 Ö N 2 mixtures. 2002. Vol. 21. P. 461.

82

83

84

85

86

87

88

89

90

91

92

93

94

95

96

97

98

Habuka H., Otsuka T. Reaction of Hydrogen Fluoride Gas at High Temperatures with Silicon Oxide Film and Silicon Surface // Jpn. J. Appl. Phys. 1998. Vol. 37, № Part 1, No. 11. P. 6123-6127.

Hoshino T., Nishioka Y. Etching process of SiO2 by HF molecules // J. Chem. Phys. American Institute of Physics, 1999. Vol. 111, № 5. P. 2109-2114.

Kang J.K., Musgrave C.B. The mechanism of HF/H2O chemical etching of SiO2 // J. Chem. Phys. 2002. Vol. 116, № 1. P. 275-280.

Swenson C.A., Tedeschi J.R. Phase Transitions in Ammonium Fluoride // J. Chem. Phys. American Institute of Physics, 1964. Vol. 40, № 4. P. 1141-1143.

Stevenson R. Phase Transition in Ammonium Fluoride // J. Chem. Phys. American Institute of Physics, 1961. Vol. 34, № 1. P. 346-347.

Chaiken R.F. et al. Rate of Sublimation of Ammonium Halides // J. Chem. Phys. American Institute of Physics, 1962. Vol. 37, № 10. P. 2311-2318.

de Kruif C.G. The vapor phase dissociation of ammonium salts: Ammonium halides, ammonium rhodanide, ammonium nitrate, and ammonium bicarbonate // J. Chem. Phys. American Institute of Physics, 1982. Vol. 77, № 12. P. 6247-6250.

Rakov E.G., Mel'nichenko E.I. The Properties and Reactions of Ammonium Fluorides // Russ. Chem. Rev. IOP Publishing, 1984. Vol. 53, № 9. P. 851-869.

Biswakarma J.J., Ciocoi V., Topper R.Q. Energetics, Thermodynamics, and Hydrogen Bonding Diversity in Ammonium Halide Clusters // J. Phys. Chem. A. American Chemical Society, 2016. Vol. 120, № 40. P. 7924-7934.

Posseme N. et al. Thin layer etching of silicon nitride: A comprehensive study of selective removal using NH 3 /NF 3 remote plasma // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2016. Vol. 34, № 6. P. 061301.

Smorokov A.A., Kantaev A.S., Borisov V.A. Research of titanomagnetite concentrate decomposition by means of ammonium fluoride and ammonium hydrogen fluoride // AIP Conf. Proc. American Institute of Physics, 2019. Vol. 2143, № 1. P. 20022.

Wang Y., Luo L. Ultrahigh-selectivity silicon nitride etch process using an inductively coupled plasma source // J. Vac. Sci. Technol. A. American Vacuum Society, 1998. Vol. 16, № 3. P. 1582-1587.

Shinoda K. et al. Thermal cyclic etching of silicon nitride using formation and desorption of ammonium fluorosilicate // Appl. Phys. Express. IOP Publishing, 2016. Vol. 9, № 10. P. 106201.

Miyoshi N. et al. Atomic layer etching of silicon nitride using infrared annealing for short desorption time of ammonium fluorosilicate // Jpn. J. Appl. Phys. IOP Publishing, 2017. Vol. 56, № 6S2. P. 06HB01.

Renaud V. et al. Two-step cycling process alternating implantation and remote plasma etching for topographically selective etching: Application to Si3N4 spacer etching // J. Appl. Phys. American Institute of Physics, 2019. Vol. 126, № 24. P. 243301.

Shinoda K. et al. Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride // J. Vac. Sci. Technol. A. American Vacuum Society, 2019. Vol. 37, № 5. P. 51002.

Brewer J.A., Miller G.W. Ammonium fluoride deposition during plasma etching of silicon

91

99.

100

101

102

103

104

105

106

107

108

109

110

111

112

113

114

115

nitride // J. Vac. Sci. Technol. B Microelectron. Process. Phenom. American Institute of Physics, 1983. Vol. 1, № 4. P. 932-934.

Knolle W.R., Huttemann R.D. Ammonium Hexafluorosilicate Formation during Plasma Etching of Silicon Nitride // J. Electrochem. Soc. The Electrochemical Society, 1988. Vol. 135, № 10. P. 2574-2578.

Deal B.E., Grove A.S. General Relationship for the Thermal Oxidation of Silicon // J. Appl. Phys. American Institute of Physics, 1965. Vol. 36, № 12. P. 3770-3778.

Posseme N., Pollet O., Barnola S. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium // Appl. Phys. Lett. 2014. Vol. 105, № 5. P. 2-6.

Ah-Leung V. et al. Understanding of a new approach for silicon nitride spacer etching using gaseous hydrofluoric acid after hydrogen ion implantation // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2017. Vol. 35, № 2. P. 021408.

Sherpa S.D., Ranjan A. Quasi-atomic layer etching of silicon nitride // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2017. Vol. 35, № 1. P. 01A102.

Sherpa S.D., Ventzek P.L.G., Ranjan A. Quasiatomic layer etching of silicon nitride with independent control of directionality and selectivity // J. Vac. Sci. Technol. A. American Vacuum Society, 2017. Vol. 35, № 5. P. 05C310.

Tiano A.L. et al. Boron nitride nanotube: synthesis and applications // Proc. SPIE. 2014. Vol. 9060. P. 906006.

Arenal R. et al. Root-Growth Mechanism for Single-Walled Boron Nitride Nanotubes in Laser Vaporization Technique // J. Am. Chem. Soc. 2007. Vol. 129. P. 16183-16189.

Yu D.P. et al. Synthesis of boron nitride nanotubes by means of excimer laser ablation at high temperature // Appl. Phys. Lett. 1998. Vol. 72. P. 1966.

Nistor L.C. et al. Boron nitride nano-structures produced by pulsed laser ablation in acetone // IOP Conf. Ser. Mater. Sci. Eng. IOP Publishing, 2010. Vol. 15. P. 12067.

Chen Y. et al. A solid-state process for formation of boron nitride nanotubes // Appl. Phys. Lett. 1999. Vol. 74, № 20. P. 2960-2962.

Lourie O.R. et al. CVD Growth of Boron Nitride Nanotubes // Chem. Mater. American Chemical Society, 2000. Vol. 12, № 7. P. 1808-1810.

Tang C.C. et al. Effective growth of boron nitride nanotubes // Chem. Phys. Lett. 2002. Vol. 356, № 3. P. 254-258.

Tang C. et al. A novel precursor for synthesis of pure boron nitride nanotubes // Chem. Commun. The Royal Society of Chemistry, 2002. № 12. P. 1290-1291.

Khrabry A. et al. Determining the gas composition for the growth of BNNTs using a thermodynamic approach // Phys. Chem. Chem. Phys. The Royal Society of Chemistry, 2019. Vol. 21, № 24. P. 13268-13286.

Kaganovich I. Integrated Modeling of Carbon and Boron Nitride Nanotubes Synthesis in Plasma of High-Pressure Arc. // Bull. Am. Phys. Soc. APS, 2020. Vol. 65.

Kim J.H. et al. Dual growth mode of boron nitride nanotubes in high temperature pressure laser ablation // Sci. Rep. 2019. Vol. 9, № 1. P. 15674.

116

117

118

119

120

121

122

123

124

125

126

127

128

129

130

131

132

133

134

Han L., Krstic P. A path for synthesis of boron-nitride nanostructures in volume of arc plasma // Nanotechnology. IOP Publishing, 2017. Vol. 28, № 7. P. 07LT01.

Chase M. NIST-JANAF Thermochemical Tables, 4th Edition. American Institute of Physics, -1, 1998.

Santra B. et al. Root-growth of boron nitride nanotubes: experiments and ab initio simulations // Nanoscale. The Royal Society of Chemistry, 2018. Vol. 10, № 47. P. 22223-22230.

Coburn J.W., Chen M. Optical emission spectroscopy of reactive plasmas: A method for correlating emission intensities to reactive particle density // J. Appl. Phys. 1980. Vol. 51, № 6. P. 3134-3136.

Gottscho R.A., Donnelly V.M. Optical emission actinometry and spectral line shapes in rf glow discharges // J. Appl. Phys. 1984. Vol. 56, № 2. P. 245-250.

Lieberman M.A., Lichtenberg A.J. Principles of Plasma Discharges and Materials Processing. John Wiley. 2005.

Herzberg G. Molecular spectra and molecular structure. I. Spectra of diatomic molecules. Second Edi. VNR, 1950.

Lopaev D. V. et al. Actinometry of O, N and F atoms // J. Phys. D. Appl. Phys. IOP Publishing, 2017. Vol. 50, № 7.

Karakas E., Donnelly V.M., Economou D.J. Optical emission spectroscopy and Langmuir probe diagnostics of CH 3F/O2 inductively coupled plasmas // J. Appl. Phys. 2013. Vol. 113, № 21.

Lou Q. et al. Optical emission spectroscopic studies and comparisons of CH3F/CO2 and CH3F/O2 inductively coupled plasmas // J. Vac. Sci. Technol. A Vacuum, Surfaces, Film. 2015. Vol. 33, № 2. P. 021305.

Dorai R., Kushner M.J. A model for plasma modification of polypropylene using atmospheric pressure discharges // J. Phys. D. Appl. Phys. 2003. Vol. 36, № 6. P. 666685.

Lietz A.M., Kushner M.J. Air plasma treatment of liquid covered tissue: long timescale chemistry // J. Phys. D. Appl. Phys. 2016. Vol. 49, № 42. P. 425204.

Huang S. et al. Insights to scaling remote plasma sources sustained in NF3 mixtures // J. Vac. Sci. Technol. A. American Vacuum Society, 2017. Vol. 35, № 3. P. 31302.

Frisch M.J. et al. Gaussian, Inc., Wallingford CT: Revision E.01. 2016.

Granovsky A. Firefly version 8.

Schmidt M.W. et al. General atomic and molecular electronic structure system // J. Comput. Chem. John Wiley & Sons, Ltd, 1993. Vol. 14, № 11. P. 1347-1363.

Lide D R. CRC Handbook of Chemistry and Physics // eBook. 2003. P. 3485.

Ohmi H. et al. Efficiency of silane gas generation in high-rate silicon etching by narrowgap microwave hydrogen plasma // J. Phys. D. Appl. Phys. IOP Publishing, 2015. Vol. 49, № 3. P. 35202.

Veprek S., Sarott F.-A. Electron-impact-induced anisotropic etching of silicon by hydrogen // Plasma Chem. Plasma Process. 1982. Vol. 2, № 3. P. 233-246.

135

136

137

138

139

140

141

142

143

144

145

146

147

148

149

150

151

Kail F. et al. Hydrogen-plasma etching of hydrogenated amorphous silicon: a study by a combination of spectroscopic ellipsometry and trap-limited diffusion model // Philos. Mag. Taylor & Francis, 2004. Vol. 84, № 6. P. 595-609.

Abrefah J., Olander D.R. Reaction of atomic hydrogen with crystalline silicon // Surf. Sci. 1989. Vol. 209, № 3. P. 291-313.

Wanka H.N., Schubert M.B. High silicon etch rates by hot filament generated atomic hydrogen // J. Phys. D. Appl. Phys. 1997. Vol. 30, № 8.

Bianco G. V. et al. Real time monitoring of the interaction of Si (100) with atomic hydrogen: The "h-insertion/Si-etching" kinetic model explaining Si surface modifications // Appl. Phys. Lett. 2009. Vol. 95, № 16. P. 1-4.

Tajima S. et al. Room-Temperature Si Etching in NO/F 2 Gases and the Investigation of Surface Reaction Mechanisms // J. Phys. Chem. Chem. C. 2013. Vol. 117, № 10. P. 51185125.

Tajima S. et al. Formation of nanoporous features, flat surfaces, or crystallographically oriented etched profiles by the Si chemical dry etching using the reaction of F2+ NO ^ F + FNO at an elevated temperature // J. Phys. Chem. C. 2013. Vol. 117, № 40. P. 2081020818.

Shigemoto T., Sonobe J. Thermal Cleaning of Silicon Nitride with Fluorine and Additive Mixture // ECS Trans. 2007. Vol. 11, № Springer. P. 47-54.

Kolb C.E. Resonance fluorescence study of the gas phase reaction rate of nitric oxide with molecular fluorine // J. Chem. Phys. American Institute of Physics, 1976. Vol. 64, № 8. P. 3087-3090.

Baulch D.L. et al. Evaluated Kinetic Data for High Temperature Reactions. Volume 4. Homogeneous Gas Phase Reactions of Halogen- and Cyanide-Containing Species,. 1981.

Turnipseed A.A., Birks J.W. Kinetics of the reaction of molecular fluorine with dimethyl sulfide // J. Phys. Chem. American Chemical Society, 1991. Vol. 95, № 17. P. 6569-6574.

Orkin V.L., Chaikin A.M. Determination of the rate constants for formation of fluorine atoms in the reaction of molecular fluorine with NO, C2H4, and C2F4 // Kinet. Catal. (Engl. Transl.). 1982. Vol. 23. P. 438.

Kim P., MacLean D.I., Valance W.G. ESR spectroscopic studies of the kinetics of the F + NO + M and F2 + NO reactions // J. Phys. Chem. American Chemical Society, 1980. Vol. 84, № 14. P. 1806-1810.

Rapp D., Johnston H.S. Nitric Oxide-Fluorine Dilute Diffusion Flame // J. Chem. Phys. American Institute of Physics, 1960. Vol. 33, № 3. P. 695-699.

Inficon. RGA.

Oba D., Agrawalla B.S., Setser D.W. Vibrational-rotational Einstein coefficients for HF/DF and HCl/DCl // J. Quant. Spectrosc. Radiat. Transf. 1985. Vol. 34, № 3. P. 283300.

Zemke W.T. et al. Radiative transition probalities for all vibrational levels in the X 1E+ state of HF // J. Chem. Phys. American Institute of Physics, 1991. Vol. 95, № 11. P. 7846-7853.

Arunan E., Setser D.W., Ogilvie J.F. Vibration-rotational Einstein coefficients for HF/DF and HCl/DCl // J. Chem. Phys. American Institute of Physics, 1992. Vol. 97, № 3. P.

94

152

153

154

155

156

157

158

159

160

161

162

163

164

165

166

167

168

1734-1741.

Hancock J.K., Green W.H. Laser-Excited Vibrational Relaxation Studies of Hydrogen Fluoride // J. Chem. Phys. American Institute of Physics, 1972. Vol. 56, № 5. P. 24742475.

Kushner M.J. Hybrid modelling of low temperature plasmas for fundamental investigations and equipment design // J. Phys. D Appl. Phys. 2009. Vol. 42. P. 194013.

Volynets V. et al. Highly selective Si 3 N 4 /SiO 2 etching using an NF 3 /N 2 /O 2 /H 2 remote plasma. I. Plasma source and critical fluxes // J. Vac. Sci. Technol. A. 2020. Vol. 38, № 2. P. 023007.

Eyring H. The Activated Complex in Chemical Reactions // J. Chem. Phys. 1935. Vol. 3, № 2. P. 107-115.

Li T. et al. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications. US Patent, 2008.

Avantes [Electronic resource]. URL: https://www.avantes.com/products/spectrometers/.

Peterson D.A., Schlie L.A. Stable pure sulfur discharges and associated spectra // J. Chem. Phys. American Institute of Physics, 1980. Vol. 73, № 4. P. 1551-1566.

Resnik M. et al. Comparison of SF6 and CF4 Plasma Treatment for Surface Hydrophobization of PET Polymer // Materials . 2018. Vol. 11, № 2.

Grimme S. Semiempirical GGA-type density functional constructed with a long-range dispersion correction // J. Comput. Chem. John Wiley & Sons, Ltd, 2006. Vol. 27, № 15. P.1787-1799.

Peverati R., Baldridge K.K. Implementation and Performance of DFT-D with Respect to Basis Set and Functional for Study of Dispersion Interactions in Nanoscale Aromatic Hydrocarbons // J. Chem. Theory Comput. American Chemical Society, 2008. Vol. 4, № 12. P. 2030-2048.

Martin J.M.L. et al. Ab initio study of the spectroscopy, kinetics, and thermochemistry of the BN2 molecule // Chem. Phys. Lett. 1994. Vol. 222, № 5. P. 517-523.

Elstner M. et al. Self-consistent-charge density-functional tight-binding method for simulations of complex materials properties // Phys. Rev. B. American Physical Society, 1998. Vol. 58, № 11. P. 7260-7268.

Aradi B., Hourahine B., Frauenheim T. DFTB+, a Sparse Matrix-Based Implementation of the DFTB Method // J. Phys. Chem. A. American Chemical Society, 2007. Vol. 111, № 26. P. 5678-5684.

Lukose B. et al. On the reticular construction concept of covalent organic frameworks // Beilstein J. Nanotechnol. 2010/11/22. Beilstein-Institut, 2010. Vol. 1. P. 60-70.

Frenzel J. et al. Semi-relativistic, self-consistent charge Slater-Koster tables for density-functional based tight-binding (DFTB) for materials science simulations // Zeolites. 2004. Vol. 2, № 3. P. 7.

Jmol development team. Jmol [Electronic resource]. 2016. URL: http://j mol.sourceforge.net/.

von Helden G. et al. Structures of carbon cluster ions from 3 to 60 atoms: Linears to rings to fullerenes // J. Chem. Phys. 1991. Vol. 95, № 5. P. 3835-3837.

169. von Helden G., Gotts N.G., Bowers M.T. Experimental evidence for the formation of fullerenes by collisional heating of carbon rings in the gas phase // Nature. 1993. Vol. 363, № 6424. P.60-63.

170. von Helden G. et al. Carbon cluster cations with up to 84 atoms: structures, formation mechanism, and reactivity // J. Phys. Chem. American Chemical Society, 1993. Vol. 97, № 31. P. 8182-8192.

171. Van Orden A., Saykally R.J. Small Carbon Clusters: Spectroscopy, Structure, and Energetics // Chem. Rev. American Chemical Society, 1998. Vol. 98, № 6. P. 2313-2358.

172. Kaganovich I.D. et al. Root Growth of Carbon and Boron Nitride Nanotubes in Plasma of High Pressure Arc. Lawrence Livermore National Lab.(LLNL), Livermore, CA (United States), 2019.

173. Kim K.S. et al. Insight into BN Impurity Formation during Boron Nitride Nanotube Synthesis by High-Temperature Plasma // ACS Omega. American Chemical Society, 2021.

174. Andrews L. et al. Reactions of pulsed laser produced boron and nitrogen atoms in a condensing argon stream // J. Chem. Phys. 1993. Vol. 98, № 2. P. 922-931.

175. Blase X. et al. Frustration Effects and Microscopic Growth Mechanisms for BN Nanotubes // Phys. Rev. Lett. American Physical Society, 1998. Vol. 80, № 8. P. 16661669.

176. Wu H.-S. et al. New Boron Nitride B24N24 Nanotube // J. Phys. Chem. A. American Chemical Society, 2003. Vol. 107, № 34. P. 6609-6612.

177. Hintz P.A. et al. Reactions of boron cluster ions (B + n , n =2-24) with N 2 O: NO versus NN bond activation as a function of size // J. Chem. Phys. 1991. Vol. 94, № 10. P. 64466458.

178. Krstic P.S. et al. Simulations of the synthesis of boron-nitride nanostructures in a hot, high pressure gas volume // Chem. Sci. The Royal Society of Chemistry, 2018. Vol. 9, № 15. P.3803-3819.

179. Öz9elik V.O., Ciraci S. Self-assembly mechanisms of short atomic chains on single-layer graphene and boron nitride // Phys. Rev. B. American Physical Society, 2012. Vol. 86, № 15. P. 155421.

180. Cretu O. et al. Experimental observation of boron nitride chains // ACS Nano. 2014/10/10. 2014. Vol. 8, № 12. P. 11950-11957.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.