Диагностика низкотемпературной плазмы фторсодержащих газов для оптимизации процессов плазмохимического травления диэлектриков в технологии микроэлектроники тема диссертации и автореферата по ВАК РФ 00.00.00, кандидат наук Кузьменко Виталий Олегович

  • Кузьменко Виталий Олегович
  • кандидат науккандидат наук
  • 2025, ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)»
  • Специальность ВАК РФ00.00.00
  • Количество страниц 128
Кузьменко Виталий Олегович. Диагностика низкотемпературной плазмы фторсодержащих газов для оптимизации процессов плазмохимического травления диэлектриков в технологии микроэлектроники: дис. кандидат наук: 00.00.00 - Другие cпециальности. ФГАОУ ВО «Московский физико-технический институт (национальный исследовательский университет)». 2025. 128 с.

Оглавление диссертации кандидат наук Кузьменко Виталий Олегович

Оглавление

Введение

Глава 1 Плазмохимическое травление в технологическом процессе микроэлектроники

1.1 Взаимодействие неравновесной низкотемпературной плазмы с поверхностью твердого тела

1.1.1 Элементарные процессы в неравновесной низкотемпературной плазме

1.1.2 Механизмы физико-химических процессов на поверхности твердого тела, происходящих под действием низкотемпературной плазмы

1.2 Применения процессов плазмохимического травления в микроэлектронной технологии

1.2.1 Низкоповреждающее травление диэлектриков с низкой диэлектрической проницаемостью

1.2.2 Атомно-слоевое травление диэлектриков

1.3 Выводы к главе

Глава 2 Экспериментальные методы и установки, использованные в работе

2.1 Плазменные технологические установки

2.2 Методы исследования поверхности

2.2.1 Спектральная эллипсометрия

2.2.2 Растровая электронная микроскопия

2.2.3 Рентгеновская фотоэлектронная спектроскопия

2.2.4 Атомно-силовая микроскопия

2.3 Экспериментальные и теоретические методы исследования плазмы

2.3.1 Зонд Ленгмюра

2.3.2 Оптическая эмиссионная актинометрия

2.3.3 Глобальная модель плазмы

2.4 Выводы к главе

Глава 3 Исследование механизмов низкоповреждающего травления диэлектриков с низкой диэлектрической проницаемостью в плазме фторбромуглеродных газов

3.1 Исследование плазмы фторбромуглеродных газов

3.2 Взаимодействие плазмы фторбромуглеродных газов с поверхностями и возможность оптимизации процесса низкоповреждающего травления диэлектриков с низкой диэлектрической проницаемостью

3.3 Выводы к главе

Глава 4 Исследование и оптимизация механизмов процессов в атомно-слоевом

травлении диэлектриков

4.1 Исследование процессов на шаге модификация поверхности

4.1.1 Исследование механизмов взаимодействия плазмы смеси газов Ar/CF4/H2 с различными поверхностями

4.1.2 Исследование процесса ALE с шагом модификации поверхности в оптимизированной плазме смеси газов Ar/CF4/H2

4.2 Исследование процессов на шаге активация реакции

4.2.1 Исследование механизма травления HfO2 в процессе ALE

4.2.2 Исследование возможности контроля процесса травления за счёт выбора оптимальных параметров разряда на шаге активации

4.2.3 Исследование шероховатости поверхности после процесса ALE и процесса распыления

4.3 Выводы к главе

Заключение

Благодарность

Список литературы

Введение

Рекомендованный список диссертаций по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Введение диссертации (часть автореферата) на тему «Диагностика низкотемпературной плазмы фторсодержащих газов для оптимизации процессов плазмохимического травления диэлектриков в технологии микроэлектроники»

Актуальность работы

Низкотемпературная плазма фторсодержащих газов активно применяется в микроэлектронной технологии преимущественно для реализации процессов анизотропного травления различных материалов. С уменьшением топологических размеров приборов наноэлектроники масштабируются размеры всех элементов микросхем. Это приводит к возрастающим требованиям к точности переноса профиля маски при создании структур и минимизации дефектов, вносимых при травлении [1]. Кроме того, при уменьшении проектных норм технологического процесса полупроводникового производства возникает необходимость применения в интегральных схемах новых материалов, например диэлектриков с высокой диэлектрической проницаемостью для создания стека слоев подзатворного диэлектрика в полевых транзисторах или низкой диэлектрической проницаемостью для создания системы металлизации. Прецизионные низкоповреждающие процессы травления обеспечивают успешность внедрения новых материалов в технологический процесс производства интегральных схем.

Однако, уровень практического применения процессов плазмохимического травления в микроэлектронной технологии заметно опережает уровень понимания механизмов физико-химических процессов, протекающих в процессах травления при взаимодействии используемой для травления плазмы с поверхностью. В результате, для многих процессов травления технологическая реализация основывается на эмпирическом материале, что не обеспечивает оптимальных режимов проведения процессов и не определяет пути поиска оптимальности. Под оптимальным режимом процесса травления понимается режим, который обеспечивает одновременно высокий уровень точности контроля глубины травления и передачи литографического размера, низкий уровень повреждений и химических загрязнений, вносимых в процессе в создаваемые структуры, а также требуемые значения других характеристик создаваемых в процессе структур. Эмпирический подход к реализации технологического процесса препятствует одновременному достижению указанных параметров структур, создаваемых в

результате травления. Для оптимизации процессов травления необходимо устанавливать фундаментальные механизмы физико-химических процессов, проходящих на поверхностях твердых тел и в газовой фазе, в каждом конкретном случае. В такой ситуации очень важное значение приобретает экспериментальная диагностика плазмы, обеспечивающая получение данных о внутренних параметрах плазмы с высокой точностью [1].

Различные компоненты неравновесной низкотемпературной плазмы (ионы, электроны, радикалы, фотоны) как непосредственно взаимодействуют с поверхностью твердого тела, так и могут выступать в роли катализаторов или ингибиторов физико-химических процессов. Общая сложность (многостадийность, многоканальность [2]) физико-химических процессов в неравновесной низкотемпературной газоразрядной плазме и при взаимодействии плазмы с поверхностью обуславливает практические трудности создания универсальных моделей, устанавливающих взаимосвязи между параметрами установок и процессов плазмохимического травления и характеристиками создаваемых структур. Однако в каждом конкретном случае, определение фундаментальных механизмов реакций, протекающих в неравновесной низкотемпературной газоразрядной плазме и при взаимодействии плазмы с поверхностью, возможно за счёт исследования свойств поверхности в процессе травления и определения потоков частиц из плазмы на поверхность. Комплексный подход к исследованию механизмов процессов при взаимодействии плазмы с твердым телом позволяет реализовать оптимальный процесс травления в зависимости от требуемых параметров, таких как прецизионный геометрический размер, шероховатость поверхности, отсутствие повреждений и химических загрязнений поверхности.

Таким образом, экспериментальная диагностика плазмы и определение механизмов физико-химических процессов, проходящих на поверхностях и в газовой фазе при воздействии низкотемпературной плазмы фторсодержащих газов на различные материалы поверхности, позволяющих оптимизировать прецизионные процессы плазменного травления, является актуальной задачей.

Основная идея данного исследования заключается в применении комплексного подхода к реализации прецизионных процессов плазмохимического травления, основанного на экспериментальной диагностике параметров низкотемпературной плазмы и определение фундаментальных механизмов физико-химических процессов, происходящих при взаимодействии плазмы с поверхностью, с помощью диагностики плазмы методами оптической актинометрии и зонда Ленгмюра и исследования процессов, происходящих на поверхности, методами спектральной эллипсометрии, растровой электронной микроскопии, рентгеновской фотоэлектронной спектроскопии и атомно-силовой микроскопии.

Степень разработанности

Разработка технологических процессов важна для интеграции новых материалов в процесс полупроводникового производства и улучшения конечных характеристик интегральных схем. Исследование механизмов реакций, происходящих в технологических процессах травления, проведенное в данной работе, направлено на оптимизацию существующих подходов к травлению, наиболее критических к вносимым повреждениям в создаваемые структуры.

С уменьшением проектных норм технологического производства ниже 90 нм, основным диэлектриком, применяемым в системе металлизации интегральной схемы, является пористое органосиликатное стекло (OSG, SiOC(H)) [3] обладающее ультранизкой диэлектрической проницаемостью (~2,3). При травлении пористого диэлектрика диэлектрическая проницаемость может существенно увеличиться из-за повреждений, вносимых плазмой [4], что значительно ухудшает характеристики интегральной схемы. Одним из подходов к реализации процесса травления, вносящего минимальные повреждения в диэлектрик, является криогенное травление, в котором активные радикалы и продукты реакции могут конденсироваться внутри пор и обеспечивать защитный слой для поверхности [4]. Защитный слой может удаляться простым нагреванием образца до комнатной температуры. В ранее проведенных исследованиях была показана возможность реализации данного подхода при травлении пористого OSG

в плазме SF6 при снижении температуры образца до -120 0C [5]. Также была исследована возможность применения плазмы газа CF4 и CF3Br [6] для криогенного травления пористого OSG. Ожидалось, что травление пористых пленок OSG в плазме CF3Br может привести к образованию малолетучих бромидов кремния, способных конденсироваться в порах при низкой температуре и играть роль защитных слоев. Однако, оказалось, что деградация диэлектрика при травлении в плазме газа CF3Br не уменьшается по сравнению с CF4. Также не были выявлены возможности оптимизации процесса низкоповрежающего травления в плазме других фторбромсодержащих газов.

Количество публикаций по ALE существенно выросло за последние десятилетия [7]. В настоящее время анизотропный процесс ALE был продемонстрирован на более чем 20 материалах, включая полупроводники, диэлектрики и металлы [8], при этом часть из подходов реализованы в специализированных установках, трудно применимых в промышленных процессах технологического производства. Исследования ALE диэлектриков с высокой диэлектрической проницаемостью в масштабируемых технологических установках актуальны для изготовления интегральных схем, изготовленных по проектным нормам < 45 нм [9].

Исследованием и разработкой прецизионных технологических процессов травления диэлектриков с низкой и высокой диэлектрической проницаемостью занимаются различные научные коллективы в том числе из ФТИАН им. К.А.Валиева РАН [10], [11], АО «НИИМЭ» [12], [13], НИИЯФ МГУ [14], [15] и другие.

Целью работы является:

Экспериментальная диагностика параметров неравновесной низкотемпературной плазмы и определение фундаментальных механизмов физико-химических процессов, проходящих на поверхностях и в газовой фазе при взаимодействии низкотемпературной плазмы фторсодержащих газов с различными материалами поверхности, для реализации и оптимизации прецизионных процессов плазмохимического травления диэлектриков.

Для достижения поставленной цели было необходимо решить следующие задачи:

1. Провести анализ механизмов взаимодействия низкотемпературной плазмы низкого давления с поверхностью, определить взаимосвязи физических особенностей процессов травления и параметров плазмы в установке травления.

2. Развить методики измерения зондовых вольт-амперных характеристик в пленкообразующей плазме и обработки данных эмиссионной спектроскопии.

3. С помощью предложенных методик провести экспериментальное исследование индуктивно-связанной плазмы (1СР) фторбромсодержащих газов в установке плазмохимического травления. Объяснить механизмы деградации диэлектриков с низкой диэлектрической проницаемостью при травлении. Исследовать возможности управления параметрами плазмы для оптимизации процесса травления.

4. Провести экспериментальное исследование влияния долей подаваемых газов в индуктивно-связанной плазме Ar/CF4/H2 на состав плазмы. Установить зависимость свойств фторуглеродных пленок от параметров плазмы и возможность управления процессами пленкообразования/травления на различных поверхностях в плазме АГ^4/Н2.

5. Установить механизм травления диэлектриков с высокой диэлектрической проницаемостью в процессе атомно-слоевого травления с шагом модификации поверхности в плазме смеси газов Ar/CF4/H2 и исследовать возможность контроля и оптимизации процесса атомно-слоевого травления за счет выбора параметров разряда на шаге активации реакции

Научная новизна

Научную новизну представляют следующие результаты:

1. Проведено экспериментальное исследование индуктивно-связанной плазмы фторбромсодержащих газов в процессах плазмохимического травления диэлектриков с низкой диэлектрической проницаемостью. Впервые экспериментально установлено, что в индуктивно-связанной плазме CF3Br и C2F4Br2 при давлении 5-20 мТорр концентрации радикалов фтора больше, чем концентрации радикалов брома в широком диапазоне значений параметров разряда, что позволяет объяснить деградацию диэлектриков с низкой диэлектрической проницаемостью в процессе травления.

2. Проведено экспериментальное исследование индуктивно-связанной плазмы Ar/CF4/H2 в серийной установке плазмохимического травления. Установлены механизмы изменения баланса между процессами на поверхности от осаждения пленок к травлению при увеличении отношения потоков CF4/H2 в плазмообразующей смеси газов от 0,25 до 4, заключающиеся в увеличении доли концентрации фтора как в плазме, так и в осажденной фторуглеродной пленке, что дало возможность применить оптимальный состав плазмы смеси газов Ar/CF4/H2 для реализации шага модификации поверхности в процессе атомно-слоевого травления диэлектриков с высокой диэлектрической проницаемостью.

3. Благодаря предложенной в работе оптимизации шага модификации поверхности впервые продемонстрирован процесс анизотропного атомно-слоевого травления в установке плазмохимического травления с реактором с индуктивно-связанной плазмой, обладающий скоростями травления А1203 - 0,16 нм/цикл, НЮ2 - 0,11 нм/цикл и АШ - 0,20 нм/цикл и селективностями к TiN равными 30, 20 и 40, соответственно.

4. Объяснен механизм травления НЮ2 в разработанном процессе атомно-слоевого травления в серийной установке плазмохимического травления, в котором шаг модификации поверхности происходил в плазме смеси газов Ar/CF4/H2 и шаг активации реакции был реализован с помощью бомбардировки ускоренными ионам аргона из плазмы. Исследована возможность оптимизации процесса за счет выбора параметров плазмы на шаге активации реакции, таких как вложенная мощность индуктивно-связанной плазмы и напряжение автосмещения.

Практическая значимость

Исследование проведено в полупромышленной технологической установке плазмохимического травления, позволяющей выполнять как процессы непрерывного травления, так и циклические процессы атомно-слоевого травления на пластинах диаметром до 200 мм. Полученные результаты актуальны для применения в промышленных технологических процессах при создании микроструктур интегральных схем с проектными нормами технологического производства 45 нм и менее.

Эксперименты по диагностике плазмы проводились на полупромышленной серийной установке в широком диапазоне технологических параметров. Это позволяет установить возможности модификации промышленного оборудования для достижения оптимальных процессов травления.

Методология и методы исследования

Низкотемпературная фторсодержащая индуктивно-связанная плазма исследовалась в серийной полупромышленной кластерной технологической установке плазмохимического травления PlasmaLab 100 (Oxford Instruments). Для верификации результатов исследования индуктивно-связанной плазмы фторсодержащих газов, экспериментальная диагностика была дополнительно проведена в установке плазменной иммерсионной имплантации производства ФТИАН им. К. А. Валиева РАН с реактором с индуктивно-связанной плазмой. Толщины диэлектрических плёнок до и после травления определялись методом спектральной эллипсометрии на установке M-2000X (J.A. Woollam Co., Inc). Глубина травления Si в процессе взаимодействия с плазмой газов CF4 и C2F4Br2 была измерена с помощью растровой электронной микроскопии (РЭМ). Химический состав пленок в процессе атомно-слоевого травления диэлектриков был исследован методом рентгеновский фотоэлектронной спектроскопии (РФЭС). Шероховатость пленок в процессе атомно-слоевого травления была исследована методом атомно-силовой микроскопии (АСМ). Диагностика плазмы производилась методами зонда Ленгмюра (ESPion Advanced, Hiden Analytical) и оптической эмиссионной актинометрии (HR4Pro, Ocean Insight). Для анализа

экспериментальных данных и моделирования сечений возбуждения прямым электронным ударом использовалась среда моделирования МА^АВ, моделирование спектров излучения молекулярных радикалов производилось в специализированном пакете Pgopher [16].

Подход к реализации процессов прецизионного плазмохимического травления, основанный на экспериментальном исследовании состава плазмы, выявлении механизмов, происходящих при взаимодействии плазмы с поверхностью, и оптимизации параметров разрядов в процессе травления позволил сформулировать следующие положения, выносимые на защиту:

1. В индуктивно-связанной плазме газов CF3Br и СгР4Вг2 концентрации радикалов фтора больше, чем концентрации радикалов брома в диапазоне давлений в камере 5-20 мТорр, актуальном для процессов плазмохимического травления, что объясняет механизм деградации диэлектриков с низкой диэлектрической проницаемостью в процессе травления, наблюдаемой ранее другими авторами.

2. В индуктивно-связанной плазме Ar/CF4/H2 в установке плазмохимического травления с увеличением отношения потоков CF4/H2 в плазмообразующей смеси газов от 0,25 до 4 при постоянной доле Аг равной 83,3% в два раза уменьшается доля радикалов CFx по отношению к радикалам фтора, что приводит к изменению процесса на поверхностях SiO2 и TiN от осаждения фторуглеродной плёнки к травлению.

3. Применение оптимизированного для плёнкообразования состава плазмы Ar/CF4/H2 позволяет реализовать процесс атомно-слоевого травления А1203 со скоростью травления 0,16 нм/цикл, Ж02 со скоростью травления 0,11 нм/цикл и АШХ - 0,20 нм/цикл и селективностями к TiN равными 30, 20 и 40, соответственно, в установке плазмохимического травления.

4. Механизм травления Ш02 в разработанном процессе атомно-слоевого травления заключается в фторировании поверхности оксида гафния и осаждении фторуглеродной пленки с низким содержанием фтора на поверхности на шаге модификации и последующем травлении фторуглеродной пленки и

фторированного оксида гафния на шаге активации реакции ускоренными ионами аргона со скоростью в 4 раза большей, чем скорость распыления нефторированного НГО2.

Достоверность полученных результатов обеспечивается применением современных методов исследования, верификацией корректности применимости методов. Результаты находятся в соответствии с данными, полученными другими авторами.

Личный вклад.

Постановка задач осуществлялась научным руководителем работы Мяконьких А.В. Реализация алгоритма экспериментальной диагностики параметров плазмы, планирование экспериментов по диагностике плазмы, анализ результатов были выполнены автором лично. Эксперименты по атомно-слоевому травлению диэлектриков, измерения и обработка результатов, полученных методами спектральной эллипсометрии, зонда Ленгмюра и оптической эмиссионной актинометрии, были проведены автором. Анализ результатов рентгеновской фотоэлектронной спектроскопии был проведен при непосредственном участии автора. Результаты, выносимые на защиту и составляющие научную новизну работы, получены автором лично. Результаты, полученные совместно с коллегами, отмечены в тексте диссертации. Автор принимал участие в представлении результатов на конференциях и непосредственно участвовал в написании научных публикаций.

Апробация работы.

Результаты исследований были доложены на всероссийских (4 доклада) и международных (6 докладов) конференциях:

1. Kuzmenko V. O., Miakonkikh A. V., Rudenko K. V. «Experimental study of inductive coupled plasma of CF3Br» / Всероссийская (с международным участием) конференция «Физика низкотемпературной плазмы», 9-13 ноября 2020 г., Казань

2. Кузьменко В.О., Мяконьких А.В., Руденко К.В. «Применение методов зонда Ленгмюра и оптической эмиссионной спектроскопии для исследования плазмы фторбромуглеродов» / Всероссийская конференция с международным

участием «Газоразрядная плазма и синтез наноструктур», 2-5 декабря 2020 г, Казань

3. Кузьменко В. О., Мяконьких А. В., Руденко К. В. «Диагностика индуктивно-связанной плазмы фторбромуглеродных газов» / IX Международный симпозиум по теоретической и прикладной плазмохимии, 13-17 сентября 2021 г., Иваново

4. V.O. Kuzmenko, A.V. Miakonkikh, K.V. Rudenko «Study of inductively coupled plasma of fluorobromocarbons by Langmuir probe and optical emission spectroscopy» / International Conference "Micro- and Nanoelectronics - 2021" , October 4-8, 2021, Zvenigorod, Russia

5. В. О. Кузьменко, А. В. Мяконьких «Атомно-слоевое травление оксида алюминия с использованием осаждения полимерной пленки и бомбардировки ионами аргона из плазмы» / II Международная конференция «Газоразрядная плазма и синтез наноструктур», 1-4 декабря 2021 г., Казань

6. В. О. Кузьменко, А. В. Мяконьких, К. В. Руденко «Исследование осаждения фторуглеродной пленки из плазмы Ar/CF4/H2 для реализации процесса атомно-слоевого травления» / III международная конференция «Газоразрядная плазма и синтез наноструктур», 1-4 декабря 2022 г., Казань

7. V. Kuzmenko, A. Miakonkikh, K. Rudenko «Approach to atomic layer etching of high-k dielectrics in conventional plasma etching tool» / International Conference "Micro- and Nanoelectronics - 2023", October 2-6, 2023, Zvenigorod, Russia

8. Кузьменко В.О., Мяконьких А.В., Руденко К.В. «Возможности атомно-слоевого травления диэлектриков в установке плазмохимического травления с источником индуктивно-связанной плазмы» / Школа молодых учёных в рамках Российского форума «Микроэлектроника 2023», 12-13 октября 2023 г., Сочи

9. Кузьменко В. О., Мяконьких А. В. «Выявление механизмов управления процессом атомно слоевого травления в установке

плазмохимического травления» / X Международный симпозиум по теоретической и прикладной плазмохимии, 9-13 сентября 2024 г., Иваново

10. Кузьменко В. О., Мельников А. Е., Мяконьких А. В. «Исследование механизма атомно-слоевого травления диэлектриков в установке плазмохимического травления» / Школа молодых учёных в рамках Российского форума «Микроэлектроника 2024», 16-25 сентября 2024 г, Сочи

Публикации.

Содержание диссертации опубликовано в работах, в отечественных и зарубежных научных журналах, трудах и тезисах международных конференций, в том числе 9 статей в журналах из перечня журналов и изданий, утвержденного МФТИ:

1. Kuzmenko, V., Miakonkikh, A., Rudenko, K. Application of Langmuir probe and optical emission spectroscopy for bromofluorocarbon plasma diagnostics, (2021) Journal of Physics: Conference Series, 1870 (1), art. no. 012006, DOI: 10.1088/17426596/1870/1/012006 (Scopus) (0,36 п. л., вклад соискателя 50%)

2. Кузьменко В.О., Мяконьких А.В., Исследование индуктивно-связанной плазмы пониженного давления CF3Br методами зонда Ленгмюра и оптической эмиссионной спектроскопии, (2021) Письма в ЖТФ, Т. 47, С. 52-54 // Kuzmenko, V.O., Miakonkikh, A.V. Low-Pressure Inductively Coupled CF3Br Plasma Studied by the Langmuir Probe and Optical Emission Spectroscopy Techniques (2021) Technical Physics Letters, 47 (1), pp. 99-102. DOI: 10.1134/S1063785021010247 (K1, Scopus -Q3, WoS - Q4) (0,28 п. л., вклад соискателя 50 %)

3. Miakonkikh, A., Kuzmenko, V., Efremov, A., Rudenko, K. A comparison of CF4, CBrF3 and C2Br2F4 plasmas: Physical parameters and densities of atomic species, (2022) Vacuum, 200, art. no. 110991. DOI: 10.1016/j.vacuum.2022.110991 (К1, Scopus - Q1, WoS - Q2) (1,14 п. л., вклад соискателя 40%)

4. Мяконьких А. В., Кузьменко В. О., Ефремов А. М., Руденко К. В., Особенности кинетики гетерогенных процессов при травлении кремния в плазме CF4 и C2Br2F4, (2022) Микроэлектроника, Т. 51, № 6, С. 505-512 // Miakonkikh, A.V., Kuzmenko, V.O., Efremov, A.M., Rudenko, K.V. Peculiarities of the Kinetics of

Heterogeneous Processes during the Etching of Silicon in CF4 and C2Br2F4 Plasma (2022) Russian Microelectronics, 51 (6), pp. 505-511. DOI: 10.1134/S1063739722700032 (К1, Scopus - Q4) (0,88 п. л., вклад соискателя 40 %)

5. Kuzmenko, V., Lebedinskij, Y., Miakonkikh, A., Rudenko, K. Selective atomic layer etching of AkO3, AlNx and НГО2 in conventional ICP etching tool, (2023) Vacuum, 207, art. no. 111585. DOI: 10.1016/j.vacuum.2022.111585 (К1, Scopus - Q1, WoS -Q2) (1,37 п. л., вклад соискателя 40 %)

6. Kuzmenko, V.O., Miakonkikh, A.V., Rudenko, K.V. Investigation of Fluorocarbon Film Deposition from Ar/CF4/H2 Plasma for the Implementation of the Atomic Layer Etching Process, (2023) High Energy Chemistry, 57, pp. S100-S104. DOI: 10.1134/S0018143923070238 (К1, Scopus - Q4, WoS - Q4) (0,42 п. л., вклад соискателя 50%)

7. Miakonkikh A. V., Kuzmenko V. O., Efremov A. M., Rudenko K. V. Parameters and Composition of Plasma in a CF4 + H2 + Ar Mixture: Effect of CF4/H2 Ratio, (2024) Russian Microelectronics, 53(1), pp. 70-78. DOI: 10.1134/S1063739723600012 (К1, Scopus - Q4) (1,16 п. л., вклад соискателя 40 %)

8. Kuzmenko V., Melnikov A., Isaev A., Miakonkikh A. Revealing the controlling mechanisms of atomic layer etching for high-k dielectrics in conventional inductively coupled plasma etching tool, (2024) Journal of Vacuum Science and Technology A, 42, 052602. DOI: 10.1116/6.0003717 (К1, Scopus - Q2, WoS - Q3) (1,22 п. л., вклад соискателя 40 %)

9. Miakonkikh A., Kuzmenko V., Efremov A., Rudenko K. On relationships between gas-phase and heterogeneous process kinetics in CF4 + H2 + Ar plasma, (2025) Vacuum, 234, art. no. 114044. DOI: 10.1016/j.vacuum.2025.114044 (К1, Scopus - Q1, WoS - Q2) (1,57 п. л., вклад соискателя 40 %)

Объем и структура работы.

Диссертация состоит из введения, 4 глав, заключения и списка литературы. Каждая из глав завершается разделом «Выводы», в котором кратко излагаются полученные в ней результаты. Общие выводы по работе приведены в Заключении.

Содержание диссертации изложено на 128 страницах. Рукопись содержит 47 рисунков, 2 таблицы. Список литературы содержит 125 ссылок на цитируемые источники в литературе. Кроме того, приведен список из 9 публикаций автора по теме диссертации, входящих в систему цитирования Scopus, из них 2 в российских журналах из списка ВАК.

Глава 1 Плазмохимическое травление в технологическом процессе микроэлектроники

Развитие интегральных схем микропроцессоров происходит за счёт увеличения числа транзисторов в схеме, количество которых в современных ультрабольших интегральных схем (УБИС) достигает 1010 [17], и улучшения характеристик транзисторов. Это происходит за счет уменьшения размеров транзисторов, изменения их конструкции (с планарной на трехмерную) и применения новых материалов. Этот процесс развития интегральных схем называют процессом масштабирования элементов интегральных схем.

При производстве структур с характерными размерами больше 2 мкм распространенной субтрактивной технологией являлся процесс травления в жидкости. С уменьшением размеров повысился уровень требований к точности переноса размеров структур и изотропные процессы жидкостного травления (Рисунок 1.1а) на многих шагах производства интегральных схем были заменены плазменными процессами [18]. Главным преимуществом последнего является возможность достижения анизотропности травления, то есть скорость травления в вертикальном направлении значительно больше по сравнению с горизонтальной (Рисунок 1. 1 б) [7]. Данная особенность существенна в технологических процессах, в которых необходимо точно перенести критические размеры из литографической маски в приборный слой, а также для создания высокоаспектных структур.

а) б)

Рисунок 1.1 - Профили структур травления при а) изотропном травлении, б)

анизотропном травлении [7]

Переход к плазменному процессу обоснован возможностью достижения анизотропии за счет создания направленного потока частиц на поверхность, например ускоренных в электрическом поле ионов. Исторически взаимодействие ускоренных ионов с веществом изучалось на источниках ускоренных ионов (пучковые источники). Хотя они допускают возможность масс-сепарации и установления одинаковой энергии для всех частиц, попадающих на поверхность, недостатком этих устройств является их крайне низкая производительность по дозе (1/(см-с2)) при низких энергиях и необходимость сканирования образца из-за малого диаметра пучка. Поэтому, в современном технологическом процессе микроэлектроники применяются в основном плазменные установки (реакторы) с источниками двух типов: двухчастотные ССР (емкостно-связанная плазма) и 1СР (индуктивно-связанная плазма), позволяющие масштабировать технологические процессы до пластин диаметром 450 мм (Рисунок 1.2) [19]. Реактор с источником емкостно-связанной плазмы (Рисунок 1.2а) представляет из себя камеру, в которой находятся два плоских электрода, на верхний электрод обычно подается электрическая мощность от высокочастотного (ВЧ) генератора (> 13,56 МГц), а на нижний электрод, на котором устанавливается пластина, подается электрическая

Похожие диссертационные работы по специальности «Другие cпециальности», 00.00.00 шифр ВАК

Список литературы диссертационного исследования кандидат наук Кузьменко Виталий Олегович, 2025 год

Список литературы

1. Adamovich I. et al. The 2022 Plasma Roadmap: low temperature plasma science and technology // J Phys D Appl Phys. 2022. Vol. 55, № 37.

2. Ефремов А.М., Светцов В.И., Рыбкин В.В. Вакуумно-Плазменные Процессы И Технологии: Учебное Пособие. 2006. 260 p.

3. Hoofman R.J.O.M. et al. Challenges in the implementation of low-k dielectrics in the back-end of line // Microelectron Eng. 2005. Vol. 80, № SUPPL. P. 337-344.

4. Baklanov M.R. et al. Plasma processing of low-k dielectrics // J Appl Phys. 2013. Vol. 113, № 4.

5. Zhang L. et al. Low Damage Cryogenic Etching of Porous Organosilicate Low-k Materials Using SF 6 /O 2 /SiF 4 // ECS Journal of Solid State Science and Technology. 2013. Vol. 2, № 6. P. N131-N139.

6. Rezvanov A. et al. Cryogenic etching of porous low-k dielectrics in CF 3 Br and CF 4 plasmas // Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 2017. Vol. 35, № 2. P. 021204.

7. Kanarik K.J. et al. Overview of atomic layer etching in the semiconductor industry // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2015. Vol. 33, № 2.

8. ALE database [Electronic resource]. URL: https://www.atomiclimits.com/aledatabase/.

9. Raghavan N., Pey K.L., Shubhakar K. High-к dielectric breakdown in nanoscale logic devices - Scientific insight and technology impact // Microelectronics Reliability. Elsevier Ltd, 2014. Vol. 54, № 5. P. 847-860.

10. Мяконьких А.В. Фундаментальные основы плазменных технологий структурирования для наноэлектроники: дис. ... д-ра физ.-мат. наук: 2.2.2 / Мяконьких Андрей Валерьевич. - М., 2024. - 238 с.

11. Руденко М.К. Математическое моделирование процесса криогенного плазменного травления высокоаспектных микроструктур в кремнии: дис. ... канд. физ.-мат. наук: 2.2.2 / Руденко Михаил Константинович. - М., 2023. - 171 с.

12. Резванов А.А. Влияние процесса плазмохимического травления на молекулярную структуру и интегральные свойства диэлектриков с ультранизкой диэлектрической проницаемостью: дис. ... канд. физ.-мат. наук: 05.27.01 / Резванов Аскар Анварович. - М., 2019. - 191 с.

13. Шишлянников А.В. Исследование методов формирования структур с критическими размерами до 10 нм электронно-лучевой литографией на основе HSQ резиста: дис. ... канд. физ.-мат. наук: 05.27.01 / Шишлянников Антон Валерьевич. - М., 2021. - 116 с.

14. Voronina E.N. et al. Pore sealing mechanism in OSG low-k films under ion bombardment // Plasma Processes and Polymers. 2020. Vol. 17, № 2.

15. Proshina O. V. et al. Experimental and theoretical study of RF capacitively coupled plasma in Ar-CF4-CF3I mixtures // Plasma Sources Sci Technol. 2015. Vol. 24, № 5.

16. Western C.M. PGOPHER: A program for simulating rotational, vibrational and electronic spectra // J Quant Spectrosc Radiat Transf. Elsevier, 2017. Vol. 186. P. 221242.

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

Apple introduces M4 chip [Electronic resource], URL: https://www.apple.com/newsroom/2024/05/apple-introduces-m4-chip/. Handbook of Advanced Plasma Processing Techniques // Handbook of Advanced Plasma Processing Techniques. 2000.

Donnelly V.M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2013. Vol. 31, № 5. P. 050825.

Райзер Ю.П. Физика газового разряда. 3rd ed. Долгопрудный: ООО Издательский дом "Интеллект," 2009.

Bogdanova M. et al. "Virtual IED sensor" for df rf CCP discharges // Plasma Sources Sci Technol. 2021. Vol. 30, № 7. P. 1-31.

Очкин В.Н. Спектроскопия низкотемпературной плазмы. "Физматлит," 2006. 472 p.

Chang J., Chang J.P. Achieving atomistic control in materials processing by plasma-surface interactions // J Phys D Appl Phys. IOP Publishing, 2017. Vol. 50, № 25. Lieberman M., Lichtenberg A. Principles of Plasma Discharges and Materials Processing. 2015. Vol. 3, № 2. 54-67 p.

Proshina O. V. et al. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges // Plasma Sources Sci Technol. 2017. Vol. 26, № 7. P. 075005.

Lee J., Efremov A., Kwon K.H. On the relationships between plasma chemistry, etching kinetics and etching residues in CF4+C4F8+Ar and CF4+CH2F2+Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios // Vacuum. Elsevier Ltd, 2018. Vol. 148. P. 214-223.

Lee J. et al. On the Etching Mechanisms of SiC Thin Films in CF4/CH2F2/N2/Ar Inductively Coupled Plasma // Plasma Chemistry and Plasma Processing. Springer US, 2017. Vol. 37, № 2. P. 489-509.

Coburn J.W., Winters H.F. Ion- and electron-assisted gas-surface chemistry - An important effect in plasma etching // J Appl Phys. 1979. Vol. 50, № 5. P. 3189-3196. Winters H.F., Plumb I.C. Etching reactions for silicon with F atoms: Product distributions and ion enhancement mechanisms // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 1991. Vol. 9, № 2. P. 197-207.

Bates R.L. et al. Silicon etch using SF6/C4F8/Ar gas mixtures // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2014. Vol. 32, № 4. Ouyang Z. et al. Etching mechanism of the single-step through-silicon-via dry etch using SF6/C4F8 chemistry // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2014. Vol. 32, № 4.

D'Agostino R., Flamm D.L. Plasma etching of Si and SiO2 in SF6-O2 mixtures // J Appl Phys. 1981. Vol. 52, № 1. P. 162-167.

Zhang M. et al. Reactive Ion Etching for Submicron Structures of Refractory Metal Silicides and Polycides. // Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 1983. Vol. 1, № 4. P. 1037-1042. Clemente I. et al. Nanometer-scale oxidation of Silicon surface by ICP plasma // J Phys Conf Ser. 2018. Vol. 1124, № 8.

35

36

37

38

39

40

41

42

43

44

45

46

47

48

49

50

51

52

Wu B., Kumar A., Pamarthy S. High aspect ratio silicon etch: A review // J Appl Phys. 2010. Vol. 108, № 5.

Lopaev D. V. et al. Damage to porous SiCOH low-k dielectrics by O, N and F atoms at lowered temperatures // J Phys D Appl Phys. 2020. Vol. 53, № 17. Zalm P.C. Some useful yield estimates for ion beam sputtering and ion plating at low bombarding energies // Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena. 1984. Vol. 2, № 2. P. 151-152.

Lee Y.H. et al. Chemical sputtering of Al2O3 by fluorine-containing plasmas excited by electron cyclotron resonance // J Appl Phys. 1990. Vol. 68, № 10. P. 5329-5336. Wang X. et al. Reactive ion beam etching of HfO 2 film using Ar/CHF 3 gas chemistries // Holography, Diffractive Optics, and Applications II. 2005. Vol. 5636. P. 576.

Wu S.M. et al. Sputtering yields of Ru, Mo, and Si under low energy Ar+ bombardment // J Appl Phys. 2009. Vol. 106, № 5. P. 1-7.

Prager L. et al. Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths // Microelectron Eng. 2008. Vol. 85, № 10. P. 20942097.

Yunogami T. et al. Radiation Damage in SiO2 / Si Induced by VUV Photons // Jpn J Appl Phys. 1989. Vol. 28, № 10. P. 2172-2176.

Walter L. Photoresist Damage in Reactive Ion Etching Processes // J Electrochem Soc. 1997. Vol. 144, № 6. P. 2150-2154.

Choi G. et al. On mechanisms to control SiO2 etching kinetics in low-power reactive-ion etching process using CF4 + C4F8 + Ar + He plasma // Vacuum. 2023. Vol. 216. P. 112484.

Yambe K. et al. Comparison of Electron Densities and Temperatures in Helium and Argon Nonthermal Atmospheric-Pressure Plasmas by Continuum Spectral Analysis // IEEE Transactions on Plasma Science. 2024. Vol. 52, № 2. P. 384-394. International Roadmap for Devices and Systems (IRDSTM) 2020 Edition [Electronic resource]. URL: https://irds.ieee.org/editions/2020.

Rezvanov A.A. et al. Effect of terminal methyl group concentration on critical properties and plasma resistance of organosilicate low-k dielectrics // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. American Vacuum Society, 2020. Vol. 38, № 3.

Ciofi I. et al. RC Benefits of Advanced Metallization Options // IEEE Trans Electron Devices. 2019. Vol. 66, № 5. P. 2339-2345.

Gambino J. Process Technology for Copper Interconnects // Handbook of Thin Film Deposition: Techniques, Processes, and Technologies: Third Edition. Third Edit. Elsevier Inc., 2012. 221-269 p.

Frot T. et al. Post porosity plasma protection: Scaling of efficiency with porosity // Adv Funct Mater. 2012. Vol. 22, № 14. P. 3043-3050. Zotovich A. et al. Low-k protection from F radicals and VUV photons using a multilayer pore grafting approach // J Phys D Appl Phys. IOP Publishing, 2018. Vol. 51. P. 325202.

Tak H.W. et al. Etch characteristics of nanoscale ultra low-k dielectric using c3h2f6 // Materials Express. 2020. Vol. 10, № 6. P. 834-840.

53

54

55

56

57

58

59

60

61

62

63

64

65

66

67

68

Bestwick T.D. et al. Study of oxygen addition to CF3Br reactive ion etching plasmas: Effects on silicon surface chemistry and etching behavior // Appl Phys Lett. 1989. Vol. 54, № 23. P. 2321-2323.

Avtaeva S. V., Otorbaev D.K. Diagnostics of plasma parameters of RF discharges In CF3Br During etching of semiconductors // J Phys D Appl Phys. 1993. Vol. 26, № 12. P. 2148-2153.

Wohl G., Hascik S. Characterization of a Trench Etching Process Using CBrF3 and Optimal Emission Spectroscopy of the Plasma // Crystal Research and Technology. 1991. Vol. 26, № 6. P. 717-728.

Baryshev Yu.P. et al. Etching of silicon in CBrF3: formation of deep trenches and plasma diagnostics // SPIE. 1992. Vol. 1783. P. 386-397.

Ершов А.П., Исаев К.Ш., Калинин А.В. Параметры плазмы НЧ-разряда в смеси CF3Br/Ar в диодном плазмохимическом реакторе // Химия высоких энергий. 1994. Vol. 28, № 1. P. 88.

Sharma U. et al. Advancement of Gate Oxides from SiO2 to High-k Dielectrics in Microprocessor and Memory // J Phys Conf Ser. 2022. Vol. 2267. P. 012142. Chesnokov Y.M. et al. Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition // J Mater Sci. Springer US, 2018. Vol. 53, № 10. P. 7214-7223.

Rudenko K. V. et al. Atomic Layer Deposition in the Production of a Gate HkMG Stack Structure with a Minimum Topological Size of 32 nm // Russian Microelectronics. 2018. Vol. 47, № 1. P. 1-10.

Park J.B. et al. Atomic layer etching of ultra-thin HfO2 film for gate oxide in MOSFET devices // J Phys D Appl Phys. 2009. Vol. 42, № 5. P. 055202. Min K.S. et al. Atomic layer etching of Al2O3 using BCl 3/Ar for the interface passivation layer of III-V MOS devices // Microelectron Eng. 2013. Vol. 110. P. 457460.

Kuzmenko V. et al. Revealing the controlling mechanisms of atomic layer etching for high-k dielectrics in conventional inductively coupled plasma etching tool // Journal of Vacuum Science & Technology A. 2024. Vol. 42, № 5. P. 052602. Fischer A., Lill T. Plasma application in atomic layer etching // Phys Plasmas. AIP Publishing LLC, 2023. Vol. 30. P. 080601.

Standaert T.E.F.M. et al. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2004. Vol. 22, № 1. P. 53-60.

Tsutsumi T. et al. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2017. Vol. 35, № 1. P. 01A103.

Kaler S.S. et al. Atomic Layer Etching of Silicon Dioxide Using Alternating C4F8 and Energetic Ar+ Plasma Beams // J Phys D Appl Phys. 2017. Vol. 50. P. 234001. Koh K. et al. Quasi atomic layer etching of SiO 2 using plasma fluorination for surface cleaning // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2018. Vol. 36, № 1. P. 01B106.

69

70

71

72

73

74

75

76

77

78

79

80

81

82

83

84

Lin K.-Y. et al. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. American Vacuum Society, 2020. Vol. 38. P. 032601.

Cho Y. et al. Atomic layer etching of SiO2 for surface cleaning using ammonium fluorosilicate with CF4 /NH3 plasma // Journal of Vacuum Science & Technology A. American Vacuum Society, 2020. Vol. 38. P. 022604.

Marra D.C., Aydil E.S. Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 1997. Vol. 15, № 5. P. 2508-2517. Rudenko K. V., Myakon'kikh A. V., Orlikovsky A.A. Plasma etching of poly-Si/SiO2/Si structures: Langmuir-probe and optical-emission-spectroscopy monitoring // Russian Microelectronics. 2007. Vol. 36, № 3. P. 179-192. Polyanskiy M.N. Refractiveindex.info database of optical constants // Sci Data. Springer US, 2024. Vol. 11, № 1. P. 1-19.

Gaidukasov, R.A. Miakonkikh A.V. Application of Spectral Ellipsometry for Dielectric, Metal, and Semiconductor Films in Microelectronics Technology // Russian Microelectronics. 2024. Vol. 53. P. 35-43.

Сахаров Н.В. Растровая электронная микроскопия: учебное пособие / Н.В. Сахаров. - Н. Новгород, 2020. - 96 с.

Greczynski G., Hultman L. X-ray photoelectron spectroscopy: Towards reliable binding energy referencing // Prog Mater Sci. Elsevier, 2020. Vol. 107. P. 100591. Stevie F.A., Donley C.L. Introduction to x-ray photoelectron spectroscopy // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. American Vacuum Society, 2020. Vol. 38. P. 063204.

Bachman B.J., Vasile M.J. Ion Bombardment of Polyimide Films // Journal of Vacuum Science & Technology A. 1989. Vol. 7, № 4. P. 2709. Clark D.T. et al. An ESCA study of the molecular core binding energies of the fluorobenzenes // Journal of Electron Speciroscopy and Refated Phenomena. Vol. 1, № 3. P. 227-250.

Munro H.S., Till C. ESCA and optical emission study of the inductively coupled RF

plasma copolymerization of naphthalene and octafluoronaphthalene mixtures // J

Polym Sci A Polym Chem. 1987. Vol. 25, № 4. P. 1065-1071.

Pireaux J.J. et al. XPS Analysis of Fluorocarbon Films Produced By Sputtering of a

Ptfe Bulk Cathode. // Physicochemical Aspects of Polymer Surfaces. 1983. Vol. 1. P.

53-81.

Illing G. et al. Adsorption and reaction of CO2 on Ni{110}: X-ray photoemission, near-edge X-ray absorption fine-structure and diffuse leed studies // Surf Sci. 1988. Vol. 206, № 1-2. P. 1-19.

Matolin V., Rebholz M., Kruse N. Defect-induced dissociation of CO on palladium // Surf Sci. 1991. Vol. 245, № 3. P. 233-243.

Wurth W. et al. Evolution of adsorbate core-hole states after bound and continuum primary excitation: Relaxation versus decay // Phys Rev B. 1987. Vol. 35, № 14. P.

7741-7744.

85. Chen J. et al. Investigation of etching properties of HfO based high- K dielectrics using inductively coupled plasma // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2004. Vol. 22, № 4. P. 1552-1558.

86. Taylor J.A., Lancaster G.M., Rabalais J.W. Surface alteration of graphite, graphite monofluoride and teflon by interaction with Ar+ and Xe+ beams // Applications of Surface Science. 1978. Vol. 1, № 4. P. 503-514.

87. Lehan J.P. et al. Optical and microstructural properties of hafnium dioxide thin films // Thin Solid Films. 1991. Vol. 203, № 2. P. 227-250.

88. Парфенов В.А., Юдин И.А. Атомно-Силовая Микроскопия И Ее Применения В Науке, Технике И Реставрации // Известия СПбГЭТУ «ЛЭТИ». 2015. № 9. P. 6170.

89. Orlikovskii A.A., Rudenko K.V. In situ Diagnostics of Plasma Processes in Microelectronics: The Current Status and Immediate Prospects. Part I // Russian Microelectronics. 2001. Vol. 30, № 2. P. 69-87.

90. Mott-Smith H.M., Langmuir I. The theory of collectors in gaseous discharges // Physical Review. 1926. Vol. 28, № 4. P. 727-763.

91. Chen F.F. Langmuir probes in RF plasma: Surprising validity of OML theory // Plasma Sources Sci Technol. 2009. Vol. 18, № 3. P. 035012.

92. Rudenko K. V. et al. New method for the Langmuir probe diagnostics of polymerizing plasmas // Russian Microelectronics. 2007. Vol. 36, № 1. P. 14-26.

93. Kazakov V. V. et al. Electronic structure of atoms: Atomic spectroscopy information system // Phys Scr. IOP Publishing, 2017. Vol. 92, № 10. P. 105002.

94. Lopaev D. V. et al. Actinometry of O, N and F atoms // J Phys D Appl Phys. IOP Publishing, 2017. Vol. 50, № 7. P. 075202.

95. Kiss L.D.B. et al. CF and CF2 actinometry in a CF4/Ar plasma // J Appl Phys. 1992. Vol. 71, № 7. P. 3186-3192.

96. Вайнштейн Л.А., Собельман И.И., Юков Е.А. Возбуждение атомов и уширение спектральных линий / ed. Миртова Д.А. Москва: Наука, 1979. 320 p.

97. Rozum I. et al. Electron interaction cross sections for CF3l, C2F4, and CFx (x=1-3) radicals // J Phys Chem Ref Data. 2006. Vol. 35, № 1. P. 267-284.

98. Lucjan P. Ideas of quantum chemistry. I. Amsterdam: Elsevier B.V., 2007. 1086 p.

99. Rudenko M. et al. On temperature and flux dependence of isotropic silicon etching in inductively coupled SF6 plasma // Vacuum. Elsevier Ltd, 2022. Vol. 204. P. 111326.

100. d'Agostino R., Cramarossa F., De Benedictis S. Diagnostics and decomposition mechanism in radio-frequency discharges of fluorocarbons utilized for plasma etching or polymerization // Plasma Chemistry and Plasma Processing. 1982. Vol. 2, № 3. P. 213-231.

101. Efremov A., Lee J., Kwon K.H. A comparative study of CF4, Cl2 and HBr + Ar inductively coupled plasmas for dry etching applications // Thin Solid Films. Elsevier B.V., 2017. Vol. 629. P. 39-48.

102. Efremov A.M., Murin D.B., Kwon K.H. Parameters of Plasma and Kinetics of Active Particles in CF4 (CHF3) + Ar Mixtures of a Variable Initial Composition // Russian Microelectronics. 2018. Vol. 47, № 6. P. 371-380.

103. Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios //

104

105

106

107

108

109

110

111

112

113

114

115

116

117

118

119

Plasma Chemistry and Plasma Processing. Springer US, 2017. Vol. 37, № 5. P. 14451462.

Kimura T., Ohe K. Model and probe measurements of inductively coupled CF 4

discharges // J Appl Phys. 2002. Vol. 92, № 4. P. 1780-1787.

Kimura T., Ohe K. Probe measurements and global model of inductively coupled

Ar/CF4 discharges // Plasma Sources Sci Technol. 1999. Vol. 8, № 4. P. 553-560.

Kimura T., Noto M. Experimental study and global model of inductively coupled

CF4/O2 discharges // J Appl Phys. 2006. Vol. 100, № 6. P. 063303.

Chen Z. et al. Measurement of electron temperatures and electron energy distribution

functions in dual frequency capacitively coupled CF4/O2 plasmas using trace rare

gases optical emission spectroscopy // Journal of Vacuum Science & Technology A:

Vacuum, Surfaces, and Films. 2009. Vol. 27, № 5. P. 1159-1165.

Miakonkikh A. et al. A comparison of CF4, CBrF3 and C2Br2F4 plasmas: Physical

parameters and densities of atomic species // Vacuum. Elsevier Ltd, 2022. Vol. 200. P.

110991.

NIST Chemistry WebBook [Electronic resource]. URL: https://webbook.nist.gov/cgi/inchi?ID=C7783611 &Mask=4. NIST Chemical Kinetics Database [Electronic resource]. URL: https://kinetics.nist.gov/kinetics/index.jsp.

Matsuo S. Selective etching of Si relative to SiO2 without undercutting by CBrF3 plasma // Appl Phys Lett. 1980. Vol. 36, № 9. P. 768-770.

Engelhardt M., Schwarzl S. A New CBrF3 Process for Etching Tapered Trenches in Silicon // J Electrochem Soc. 1987. Vol. 134, № 8. P. 1985-1988. Vitale S.A., Chae H., Sawin H.H. Silicon etching yields in F2, Cl2, Br2, and HBr high density plasmas // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2001. Vol. 19, № 5. P. 2197-2206.

Belen R.J. et al. Feature scale model of Si etching in SF6/O2/HBr plasma and comparison with experiments // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2006. Vol. 24, № 2. P. 350-361. Jin W., Vitale S.A., Sawin H.H. Plasma-surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon // Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2002. Vol. 20, № 6. P. 2106-2114. Efremov A., Lee B.J., Kwon K.H. On relationships between gas-phase chemistry and reactive ion etching kinetics for silicon-based thin films (SiC, SiO2 and SixNy) in multi-component fluorocarbon gas mixtures // Materials. 2021. Vol. 14. P. 1432. Efremov A.M. et al. Gas-phase parameters and reactive-ion etching regimes for Si and SiO2 in binary Ar+ CF4/C4F8 mixtures // ChemChemTech. 2021. Vol. 64, № 6. P. 25-34.

Miakonkikh A. V. et al. Parameters and Composition of Plasma in a CF4 + H2 + Ar Mixture: Effect of CF4/H2 Ratio // Russian Microelectronics. 2024. Vol. 53, № 1. P. 70-78.

Louvain N. et al. Fluorination of anatase TiO2 towards titanium oxyfluoride TiOF2: A novel synthesis approach and proof of the Li-insertion mechanism // J Mater Chem A Mater. 2014. Vol. 2, № 37. P. 15308-15315.

120. Song T.T. et al. The stability of aluminium oxide monolayer and its interface with two-dimensional materials // Sci Rep. Nature Publishing Group, 2016. Vol. 6. P. 29221.

121. Bogdanova M. et al. A relation between the ion flux and plasma density in an RF CCP discharge // Plasma Sources Sci Technol. 2018. Vol. 27. P. 025003.

122. Kropotkin A.N., Voloshin D.G. ICP argon discharge simulation: The role of ion inertia and additional RF bias // Phys Plasmas. AIP Publishing LLC, 2020. Vol. 27, № 5. P. 053507.

123. Bogdanova M.A. et al. "Virtual IED sensor" at an rf-biased electrode in low-pressure plasma // Phys Plasmas. 2016. Vol. 23, № 7. P. 073510.

124. Faraz T. et al. Precise ion energy control with tailored waveform biasing for atomic scale processing // J Appl Phys. AIP Publishing LLC, 2020. Vol. 128. P. 213301.

125. Bogdanova M. et al. Ion composition of rf CCP in Ar/H2 mixtures // Plasma Sources Sci Technol. 2019. Vol. 28. P. 095017.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.