Полузаказное проектирование специализированных реконфигурируемых вычислительных систем тема диссертации и автореферата по ВАК РФ 05.13.12, кандидат технических наук Чистяков, Алексей Григорьевич

  • Чистяков, Алексей Григорьевич
  • кандидат технических науккандидат технических наук
  • 2003, Санкт-Петербург
  • Специальность ВАК РФ05.13.12
  • Количество страниц 156
Чистяков, Алексей Григорьевич. Полузаказное проектирование специализированных реконфигурируемых вычислительных систем: дис. кандидат технических наук: 05.13.12 - Системы автоматизации проектирования (по отраслям). Санкт-Петербург. 2003. 156 с.

Оглавление диссертации кандидат технических наук Чистяков, Алексей Григорьевич

Введение.

ГЛАВА 1. Проблема проектирования СВС.

1.1. Задача полузаказного проектирования.

1.2. Средства, методы и технологии проектирования.

1.2.1. Технологии сквозного проектирования.

1.2.2. Сопряженное проектирование.

1.2.3. Аппаратная компиляция.

1.2.4. Языки структурно-функционального описания аппаратуры.

1.2.5. Технологии повторного использования.

1.3. Платформо-ориентированное проектирование.

1.3.1. Общее понятие вычислительной платформы.

1.3.2. Вычислительный полуфабрикат.

1.4. Обзор перспективных микропроцессорных архитектур.

1.4.1. Последовательные микропроцессорные архитектуры.

1.4.2. Жесткие проблемно-ориентированные архитектуры.

1.4.3. Реконфигурируемые вычислительные архитектуры.

1.4.4. Программируемые системы на кристалле.

1.5. Выводы.

ГЛАВА 2. Шаблонное проектирование СВС.

2.1. Структурно-функциональный шаблон

2.1.1. Способы спецификации СФШ.

2.1.2. Организация СВС на основе СФШ.

2.2. Вычислительная модель СФШ.

2.2.1. Модели организации вычислительных процессов.

2.2.2. Смешанная вычислительная модель.

2.2.3. Параметризация СФШ.

2.3. Организация шаблона СВС.

2.3.1. Вычислительное ядро.

2.3.2. Прикладная подсистема.

2.4. Выводы.

ГЛАВА 3. Проектирование систем на ПЛИС.

3.1. ПЛИС компоненты в составе системного шаблона.

3.2. Технология проектирования.

3.2.1. Средства проектирования систем на базе ПЛИС.

3.2.2. Методы описания проектов.

3.2.3. Составление RTL-описаний.

3.3. Использование ПЛИС в составе цифровых систем.

3.3.1. Оценка реализаций цифровых схем на ПЛИС.

3.3.2. Применение механизма граничного сканирования.

3.3.3. Сервисные механизмы систем на базе ПЛИС.

3.3.4. Использование программируемых систем на кристалле.И

3.4. Выводы.

ГЛАВА 4. Результаты полузаказной методики проектирования.

4.1. Многофункциональный контроллер для встроенных применений.

4.1.1. Состав шаблона МЕС (Multifunctional Embedded Controller).

4.1.2. Цифровые системы на базе МЕС.

4.2. Системный шаблон ADEPT.

4.3. Учебно-лабораторные стенды.

4.3.1. SDK 6.0.

4.3.2. SDX7.

4.4. Выводы.

Рекомендованный список диссертаций по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Введение диссертации (часть автореферата) на тему «Полузаказное проектирование специализированных реконфигурируемых вычислительных систем»

Из многообразия исследовательских направлений в области вычислительной техники можно выделить направление системотехнического проектирования, где создание специализированных вычислительных систем (СВС) представляет научный и практический интерес. К категории "специализированных" относятся вычислительные системы (ВС), имеющие нетиповую вычислительную архитектуру. К ним относятся большинство микропроцессорных встроенных (embedded) систем, систем цифровой обработки сигналов, инструментальное, тестовое и тренажерное оборудование, приборные контроллеры для автоматизации научных экспериментов и др. Промышленные потребности в быстром создании подобных систем являются предпосылкой для развития полузаказных методов системотехнического проектирования, которые допускают возможность функционального эволюционирования конкретной ВС на различных этапах ее жизненного цикла.

В основу работы положены оригинальные способы использования современных средств и технологий проектирования на базе современных СБИС, имеющих расширенные возможности реконфигурации прикладной вычислительной архитектуры.

Важным приложением рассматриваемой методики полузаказного проектирования является использование ее элементов в учебном процессе, где необходимо оперативное создание действующих ВС при демонстрации свойств, характеристик, а также принципов организации сложных микропроцессорных архитектур.

Целью диссертационной работы является создание методики полузаказного проектирования СВС на основе структурно-функциональных шаблонов (СФШ) ВС с расширенными возможностями реконфигурации.

В соответствии с поставленной целью в работе решаются следующие задачи:

1) проводится анализ современных средств, методов и технологий сквозного проектирования СВС;

2) проводится исследование свойств современных программируемых логических интегральных схем (ПЛИС) и существующих архитектур реконфигури-руемых ВС;

3) формулируются и уточняются состав и свойства системного структурно-функционального шаблона для использования его в задаче создания СВС;

4) разрабатываются формальные способы оценки параметров вычислительной модели, закладываемой в основу параметризируемого системного шаблона;

5) рассматриваются способы организации системного шаблона, ресурсы которого (на уровне вычислительной архитектуры) поделены на вычислительное ядро и прикладную подсистему;

6) разрабатываются специальные методы проектирования на ПЛИС (применение современных языков описания аппаратуры, особенности использования САПР ПЛИС) для улучшения повторного использования компонентов систем, а также повышения эффективности реализации цифровых систем в базисе однородных структур программируемых элементов;

7) рассматриваются примеры использования системных шаблонов для реализации ряда промышленных СВС и уникального микропроцессорного учебно-лабораторного оборудования.

В работе были широко использованы практический опыт и результаты, полученные при создании ряда реальных проектов, в числе которых: высоконадежный вычислитель системы железнодорожной автоматики, нанотестер для диагностики и модификации микро- и наноструктур, модуль расширения прецизионной высокоскоростной сигнальной обработки, серия учебно-лабораторных стендов SDK (ТУ 3428-002-43475370-2001) и их расширений.

Методическую базу исследования составляют положения теории системного анализа, структурно-функционального описания аппаратуры, теории множеств, функционального и временного моделирования аппаратуры, теории конечных автоматов, методов натурного моделирования и методов экспертных оценок.

Основные идеи и результаты были освещены в 5 докладах на научно-технических конференциях. Всего по теме диссертации сделано 10 публикаций [24, 29, 33, 40, 41, 52, 53, 54, 55, 56].

По теме диссертационной работы было подано 4 заявки на соискание грантов конкурсного центра фундаментального естествознания (КЦФЕ) молодых ученых северо-западного региона - все 4 заявки были поддержаны грантами. По всем четырем грантам были предоставлены отчеты в конкурсный центр. Ниже приводятся номера грантов по годам с указанием номеров дипломов и названий тем исследовательских работ: 7 I p ГОД . ПОЛУЧЕНИЯ НОЩЁЩ ДИПЛОМА. "//л"У;' лл/&, ш Vfffttf ^ - J /4

1999 М99-3.11Д-72 АСП No 299550 Технология сквозного проектирования ЦУС

2000 М00-3.11Д-45 АСП No 300694 Проектирование программируемых операционно-управляющих автоматов в составе встроенных систем на базе ПЛИС

2001 М01-3.11К-72 АСП No 301653 Проектирование однокристальных цифровых систем с использованием масштабируемых вычислительных компонентов

2002 M02-3.11K-1 АСП No 302643 Технология шаблонного проектирования сложных однокристальных систем на базе ПЛИС. p

Похожие диссертационные работы по специальности «Системы автоматизации проектирования (по отраслям)», 05.13.12 шифр ВАК

Заключение диссертации по теме «Системы автоматизации проектирования (по отраслям)», Чистяков, Алексей Григорьевич

4.4. Выводы

1. Цикл проектирования (перепроектирования) цифровых систем на базе системных шаблонов, организованных в соответствии с принципами полузаказного проектирования, сводится минимуму. Этап конструкторского проектирования системы заменяется этапом конфигурации системного шаблона.

2. Особенно удобными дня реализации системных шаблонов являются ПЛИС, позволяющие гибко конфигурировать архитектуру целевой системы на базе шаблона, расширяя возможности его структурной и функциональной конфигурации. Элементы ПЛИС удобны для построения ВС, сбалансированных по стоимости и составу. Их эффективно применять для развития микропроцессорной учебно-методической и исследовательской базы.

3. Результаты применения методики полузаказного проектирования продемонстрировали сокращение сроков работ от 30% до 75%. Наряду с этим отмечено повышение сложности проектов для конкретного коллектива разработчиков до 3-х раз. Также отмечается рост уровня сложности лабораторных и квалификационных работ студентов, уровень которых приближается к уровню современных технологий. Применение методов полузаказного проектирования позволяет: сократить сроки разработки целевой системы; оптимизировать систему по результатам экспериментов, гибко варьируя способы реализации отдельных механизмов; повысить качество проектов в части сервиса и функциональности; использовать результаты проектирования в учебном процессе, повышая уровень подготовки специалистов.

4. Созданная библиотека ПЛИС-компонент, организованная в соответствии с предложенной методикой, сокращает время проектирования цифровых систем на базе системных шаблонов и может быть эффективно использована как при создании реальных систем, так и при организации учебных курсов в ВУЗах.

Заключение

В диссертационной работе получены следующие основные научные и практические результаты:

1. Определено понятие структурно-функционального шаблона (СФШ) вычислительной системы, и предложена классификация способов его параметризации. Определено понятие специализированной реконфигурируемой вычислительной системы.

2. Предложен способ классификации структур реконфигурируемых массивов для оценки их свойства реконфигурируемости на основе объектного, пространственного и временного аспектов.

3. Предложена и проанализирована смешанная вычислительная модель (СВМ) организации процессов, совмещающая принципы параллельной и последовательной программной интерпретации. Разработана система оценок ее функциональных и структурных параметров.

4. Предложен способ организации параметризируемого шаблона ВС на основе СВМ, а его функциональную составляющую предложено рассматривать в виде совокупности системной и прикладной частей.

5. Приведены методы организации системных и инструментальных процессов, а также представлен способ организации вычислительных ресурсов системного шаблона на основе ПЛИС.

6. Разработаны специальные методы применения современных языков описания аппаратуры в задаче логического проектирования цифровых устройств на базе ПЛИС с блочной организацией. Использование этих методов способствует формированию единого стиля описания аппаратных моделей, облегчает повторное использование фрагментов систем, упрощает перенос проектов между различными САПР и структурами ПЛИС. Данные методы сокращают сложность задачи проектирования для специалистов различного уровня квалификации, способствуя снижению числа типовых ошибок. Методика представлена перечнем правил и рекомендаций из 80 пунктов, куда входят рекомендации по составлению описаний и стилю кодирования на языках описания аппаратуры, а также правила организации цифровых блоков на ПЛИС.

7. Создана оригинальная библиотека параметризируемых модулей, часто применяемых при проектировании сложных ВС на базе ПЛИС. Возможность параметризации этих модулей хорошо согласуется с методами полузаказного проектирования.

8. Результаты применения методики полу заказного проектирования продемонстрировали сокращение сроков работ от 30% до 75%. Наряду с этим отмечается повышение уровня сложности проектов для конкретного коллектива разработчиков до 3-х раз. Также отмечается рост уровня лабораторных и квалификационных работ студентов.

9. Элементы предлагаемой методики внедрены в учебный процесс кафедры вычислительной техники СПбГУИТМО и используются в лекционных курсах, лабораторных практикумах и при подготовке квалификационных работ студентов соответствующих специальностей.

Список литературы диссертационного исследования кандидат технических наук Чистяков, Алексей Григорьевич, 2003 год

1. Антонов А.П. Язык описания цифровых устройств AlteraHDL. Практический курс. СПб.: ЭФО, 1997.-142 с.

2. Арно Р. Все меняется в эпоху SOC. Инженерная микроэлектроника, No 2, 1999, с. 13-17.

3. Барски Д. Быстродействующее ядро флэш-FPGA объемом 500 тысяч вентилей. URL: http://www.chipnews.ru/html.cgiyarhiv/9907/stat7.htm

4. Бибило П.Н. Основы языка VHDL. М.: Солон-Р, 1999. - 200 с.

5. Бибило П.Н. Синтез логических схем с использованием языка VHDL. -М.: Солон-Р, 2002. 384 с.

6. Бродин В.Б., Шагурин И. И. Микроконтроллеры: Архитектура, программирование, интерфейс. М.: Радио и связь. 1999. - 247 с.

7. Гавриков В.О., Платунов А.Е. Отказоустойчивая информационная система управления движением поездов. // СПб.: тезисы VII Международной конференции "Региональная информатика 2000". Часть 1. СПб, СПОИСУ, 2000, с. 120.

8. Гавриков В.О., Платунов А.Е., Алексеев Б.М.Элементная база железнодорожных транспортных информационно-управляющих систем //СПб.: тезисы VII Международной конференции "Региональная информатика 2000". Часть 1. СПб, СПОИСУ, 2000, с. 120.

9. Гавриков В.О., Платунов А.Е., Никифоров H.JI. Комплекс технических средств ддя систем железнодорожной автоматики. // Автоматика, телемеханика и связь. 1998. №11. С. 5-10.

10. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах программируемой логики. СПб.: БХВ-Петербург, 2002. - 608 с. ISBN 5-94157-002-3

11. Дубова Н. Конфигурируемые процессоры: "Настройся на лучшее". URL: http://www.osp.ru/cw/1997/36/101.htm

12. Евреинов Э.В.,Косарев Ю.Г. Однородные универсальные вычислительные системы высокой производительности. -Москва:Наука, 1966.-308 с.

13. Емец С. Verilog Инструмент разработки цифровых схем // Схемотехника, No 1-4, 2001.

14. Каган Б.М. Электронные вычислительные машины и системы: Учебное пособие. 2-е изд. - М.: Энергоатомиздат, 1985. - 552 с

15. Клерк П. Xilinx интегрирует технологии FPGA и Internet. URL: http://mt.gsu.unibel.by/resources/mp/ARTICLES/art0017 .htm

16. Ключев А., Кустарев П., Платунов А. Восьмиразрядные микроконтроллеры в системах автоматического управления // Компоненты и технологии. 2001, №1, с.23-24.

17. Ключев А., Платунов А. Встроенные инструментальные средства современных микроконтроллеров // Электронные компоненты. 2002, №7, с. 94-97.

18. Ключев А.О., Коровьякова Т.А., Шатунов А.Е Использование интерфейса JTAG для отладки встраиваемых систем // Известия вузов. Приборостроение. 1998. Т41, №5 С. 45-50.

19. Ключев А.О., Кустарев П.В., Платунов А.Е. Инструментальные и учебные контроллеры семейства SDK // СПб.: Компоненты и технологии, 2002, №5, с.96-99.

20. Ключев А.О., Кустарев П.В., Платунов А.Е. Программируемые контроллеры с перестраиваемой структурой // СПб.: СПбГТУ, материалы конференции "Фундаментальные исследования в технических университетах", 1997, с.219-221.

21. Ключев А.О., Платунов А.Е. Инструментальный сервер // СПб.: Сб. Тезисов ДИМЭБ 96, 1996, 1с.

22. Коровьякова Т.А., Платунов А.Е. Перспективные микропроцессоры и микросхемы FLASH-памяти фирмы AMD для приборных и промышленных контроллеров. // Chip News. 1998. №4. С. 24-29.

23. Кривченко И. Системная интеграция в микроэлектронике FPSLIC // Chip News. - 1998. - No 3. - с. 4.

24. Криста Д., Джонсон Т. Методология высокоуровневого проектирования устройств на базе FPGA URL:http://chipnews.com.ua/html.cgi/arhivi/9903/stat-38.htm

25. Кузнецов С. Конфигурируемые вычисления URL: http://osp.admin.tomsk.ru/os/2000/l-2/091.htm

26. Ларионов A.M., Майоров М.А., Новиков Г.И. Вычислительные комплексы, системы и сети: Учебник. М.: Высшая школа, 1987. - 271 с.

27. Майоров С. А., Новиков Г.И. Структуры электронных цифровых вычислительных машин. Л.: Машиностроение, 1979. - 384 с.

28. Новиков Г.И., Платунов А.Е. Сквозное автоматизированное проектирование микропроцессорных систем // Известия вузов. Приборостроение.2000. Т.43,№1-2С. 35-39.

29. Платунов А., Постников Н., Чистяков А. Механизмы граничного сканирования в неоднородных микропроцессорных системах. // М.: Chip News. 2000, № 10, с.8-13.

30. Платунов А.Е. Архитектурная модель цифровых вычислительных систем для встроенных применений // Известия вузов. Приборостроение,2001, Т.44 №3, с. 8-15.

31. Платунов А.Е. Сквозное проектирование встроенных вычислительных систем // СПб.: тезисы VII Международной конференции "Региональная информатика 2000". Часть 1. СПб, СПОИСУ, 2000, с. 105.

32. Платунов А.Е., Ключев А.О., Кустарев П.В., Скорубский В.И., Стародубцев Э.В. Высоконадежный управляющий модуль М386.2 // В кн. "Научно-технические разработки СПб ГИТМО(ТУ)". СПб.: СПбГИТ-МО(ТУ), 2001, с. 218-219.

33. Платунов А.Е., Коровьякова Т.А. ФЛЭШ-память фирмы AMD организация и рекомендации по использованию // М.: Chip News, N' 1,1997, с.2-11.

34. Платунов А.Е., Коровьякова Т.А. ФЛЭШ-память фирмы AMD: защита секторов, примеры использования // М.: Chip News, N'5-6,1997, c.l 1-15.

35. Платунов A.E., Постников Н.П. Формализация архитектурного проектирования информационно-управляющих систем. // СПб.: тезисы конференции ППС СПб ГИТМО (ТУ), 2000, с.122.

36. Платунов А.Е., Чистяков А.Г. Проектирование смешанных систем на микроконтроллерах и элементах реконфигурируемой аппаратуры // Электронные компоненты. 2002, №8, с.82-89.

37. Платунов А.Е., Чистяков А.Г. Проектирование функционального наполнения ПЛИС в составе цифровых программно-аппаратных комплексов // СПб.: тезисы Четвертой Санкт-Петербургской ассамблеи молодых ученых и специалистов, 1999, с.53.

38. Платунов А.Е.Сквозное автоматизированное проектирование компьютерных систем: состояние и перспективы внедрения. // СПб.: тезисы XXX конференции ППС СПб ГИТМО (ТУ), 1999, с.73.

39. Постников Н.П. Динамические инструментальные компоненты. / Научно-технический вестник СПбГИТМО(ТУ). Выпуск 6. Информационные, вычислительные и управляющие системы. Главный редактор В.Н. Васильев. СПб: СПбГИТМО(ТУ), 2002. 285 с. Стр. 83-88.

40. Разевиг В.Д. Система сквозного проектирования электронных устройств DesignLab 8.0. М.:Солон-Р, 2000. - 698 с.

41. Соловьев В.В. Проектирование цифровых систем на основе программируемых логических схем М.: Горячая линия - Телеком, 2001. - 636 с.

42. Соловьев В.В., Васильев А.Г. Программируемые логические интегральные схемы и их применение. Мн.: Беларуская навука, 1998 - 270 с.

43. Стешенко В.Б. ПЛИС фирмы ALTERA: проектирование устройств обработки сигналов. М.: ДОДЕКА, 2000. - 128 с.

44. Стешенко В.Б. ПЛИС фирмы ALTERA: элементная база, система проектирования и языки описания аппаратуры. М.: Издательский дом "ДОДЕКА-ХХГ, 2002. - 576 с.

45. Стрижов В.В. Конфигурируемые процессоры для визуализации биомедицинских данных, в сб. Биосистемы в экстремальных условиях под. ред. Шакина В. В. — ВЦ РАН, Москва, 1996. — с. 47-50.

46. Угрюмов Е.П. Цифровая схемотехника. СПб.: БХВ-Петербург, 2000. -528

47. Холмберг П. В поисках идеального ядра для FPGA URL: http://www.chipnews.ru/html.cgi/arhiv/9907/stat2.htm

48. Чистяков А. Г. Проектирование проблемно-ориентированных процессоров. Изв.вузов. Приборостроение. 2003. Т.46, No2, С. 13-17

49. Чистяков А.Г. Аппаратная компиляция. Тезисы докладов юбилейной научно технической конференции профессорско-преподавательского состава, посвященной 100-летию университета, 29-31 марта 2000, с. 53-54.

50. Чистяков А.Г. Проектирование программируемых операционно-управляюгцих автоматов в составе встроенных систем на базе ПЛИС Тезисы докладов V САНКТ-ПЕТЕРБУРГСКАЯ АССАМБЛЕЯ МОЛОДЫХ УЧЕНЫХ И СПЕЦИАЛИСТОВ, 2000 год. с. 48-49

51. Шалыто A.A. SWITCH технология. Алгоритмизация и программирование задач логического управления. - СПб.: Наука, 1998. - 628 с.

52. Шалыто А.А. Алгоритмизация и программирование задач логического управления техническими средствами. СПб.: МОРИНТЕХ, 1996. 91 стр

53. Ahmad S., Martin D., Khalilian К.PLATFORM-BASED DESIGN REPORT FROM THE FRONT. URL: http://www.eda.org/edps/edp02/PAPERS/edp02-s3l.pdf.

54. Alex Z., Moshovos A., Hauck S. CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit. URL: http://citeseer.nj.nec.com/ye00chimaera.html

55. Altera Corporation 1997 "Jam Programming & Test Language Specification" URL: http://www.altera.com

56. Altera Digital Library CD-ROM. 2001.

57. Becker J. Architecture and Application of a Dynamically Reconfigurable Hardware Array for Future Mobile Communication System; Proc. FCCM00, Napa, CA, USA, April 17-19, 2000

58. Bergeron J. Writing Testbenches Functional Verification of HDL Models. Hardcover - 384 pages (January 1, 2000) Kluwer Academic Publishers; ISBN: 0792377664

59. Bhasker J. A Verilog HDL Primer, Second Edition, Hardcover 310 pages (March 1, 1999) Star Galaxy Pub; ISBN: 096503917X

60. Bondalapati K. Modeling and Mapping for Dynamically Reconfigurable Architectures. URL: http://citeseer.nj.nec.com/bondalapati01 modeling.html

61. Burns J., Donlin A. A Dynamic Reconfiguration Run-Time System. URL: http://citeseer.nj.nec.com/donlin97dynamic.html

62. Cameron S., Ohara M. The SPLASH-2 Programs: Characterization and Methodological Considerations. URL: http://citeseer.nj.nec.com/woo95splash.html

63. Chang H., Cooke L., Hunt M., Martin G. Surviving the SOC Revolution A Guide to Platform-Based Design. Hardcover - 256 pages Kluwer Academic Publishers; ISBN: 0792386795

64. Chateau J. Flexible Platform-Based Design, url: http://www.eedesign.com/isd/OEG20010228S0084

65. Cherepacha D., Lewis D. A Datapath Oriented Architecture for FPGAs; Proc. FPGA94, Monterey, CA, USA, February, 1994

66. Copen S., Goldstein S., Budiu M. PipeRench: A Reconfigurable Architecture and Compiler. URL: http://citeseer.nj.nec.com/goldsteinOOpiperench.html

67. Haller C.A., THE ZEN OF BDM. Macraigor System Inc. URL: http://www.ocdemon.net/zenofbdm.pdf

68. Cummings C. Nonblocking Assignments in Verilog Synthesis, Coding Styles That Kill! URL: http://www.sunburst-design.com/papers/CummingsSNUG2000SJNBArevl2.pdf

69. Cummings С. Synthesis and Scripting Techniques for Designing Multi-Asynchronous Clock Designs. URL: http://www.sunburst-design.com/papers/CummingsSNUG2001 SJAsyncClkrev 11 .pdf

70. Cummings C. Verilog-2001 Behavioral and Synthesis Enhancements URL: http://www.sunburstdesign.com/papers/CummingsHDLCON2001 Verilog2001 rev 1 3 .pdf

71. Cypress Corporation. 8-Bit Progammable System-on-Chip (PSoC™) Microcontrollers. Device Data Sheet URL:http://www.cypress.com/cfuploads/img/products/CY8C26443.pdf

72. Ditmar J., Torkelsson K. A. Dynamically Reconfigurable FPGA-Based Content Addressable Memory for Internet Protocol Characterization. URL: http://citeseer.nj.nec.com/ditmarOOdynamically.html

73. Ebeling D. RaPiD ~ Reconfigurable Pipelined Datapath. Field-Programmable Logic. URL: http://citeseer.nj.nec.com/article/ebeling96rapid.html

74. Edwards S., Lavagno L., Lee. E., Sangiovanni-Vincentelli A. Design of Embedded Systems: Formal Models,Validation, and Synthesis. PROCEEDINGS OF THE IEEE, VOL. 85, NO. 3, MARCH 1997, PP. 366-390

75. Eggers H., Lysaght P. Fast Reconfigurable Crossbar Switching in FPGAs, URL: http://citeseer.nj.nec.com/eggers96fast.html

76. Enzler R. Reconfigurable Processors for Handhelds and Wearables: Application Analysis. URL:http://citeseer.nj .nec.com/enzlerO 1 reconfigurable.html

77. Esparza J. Evaluation of the OneChip reconfigurable processor. URL: http://citeseer.nj.nec.com/esparzaOOevaluation.html"

78. Gamma E., Helm R., Johnson R. Design Patterns: Elements of reusable Object-Oriented Software, Addison Wesley, ISBN 0-201-63361-2

79. Gajski D. Silicon Compilers. Addison-Vesley, 1987

80. Goldstein S. С. PipeRench: A Coprocessor for Streaming Multimedia Acceleration; Proc. ISCA99, Atlanta, May 2-4, 1999

81. Hauck S, Compton K. Totem: Custom Reconfigurable Array Generation. FCCM

82. Conference, 2001. URL: http://citeseer.nj.nec.com/compton01totem.html

83. Hauck S. The Future of Reconfigurable Systems. Proceedings of the 5th Canadian Conference on Field Programmable Devices, June 1998. URL: http://citeseer.nj.nec.com/hauck98future.html

84. Hauck S. The roles of FPGAs in reprogrammable systems. Proceedings of the IEEE, pages 615--638, Apr. 1998.URL: http://citeseer.nj.nec.com/hauck98roles.html

85. Intel386EX Embedded Microprocessor Hardware Reference, April 1994, Intel Corporation

86. Jagger D. ARM Architecture Reference Manual. Paperback 816 pages 2nd edition (December 29, 2000), Addison-Wesley Pub Co; ISBN: 0201737191

87. Johns R. Wawrzynek J. Garp: A MIPS Processor with a Reconfigurable Coprocessor. IEEE Symposium on FPGAs for Custom Computing Machines. Pp. 12—21. URL: http://citeseer.nj.nec.com/hauser97garp.html

88. Keating M., Bricaud P. Reuse Methodology Manual for System-On-A-Chip Designs. Hardcover 2nd edition (June 1999) Kluwer Academic Publishers; ISBN: 0792385586

89. Lajolo M., Lavagno L., Rebaudengo M., Sonza Reorda M. Evaluating System Dependability in a Co-Design Framework. In Proceedings of the IEEE DATE 2000, pp. 586-590, Paris, France, March 27-30, 2000.

90. Lee E., Neuendorffer S., Wirthlin M. Actor-oriented design of embedded hardware and software systems. Journal of Circuits, Systems, and Computers, scheduled for publication June 2003. url: http://citeseer.nj.nec.com/article/lee03actororiented.html

91. Liang J. Swaminathan S. Tessier R. aSOC: A Scalable, Single-Chip Communications Architecture. URL: http://citeseer.nj.nec.com/article/liangOOasoc.html

92. Marshall A. A Reconfigurable Arithmetic Array for Multimedia Applications; Proc. ACM/SIGDA FPGA99, Monterey, Feb. 21-23, 1999

93. Milne G. Reconfigurable Custom Computing as a Supercomputer Replacement. URL: http://citeseer.nj.nec.com/72400.html

94. Mirsky E., DeHon A. MATRIx: A Reconfigurable Computing Architecture with Configurable Instruction Distribution and Deployable Resources; Proc. IEEE FCCM96, Napa, CA, USA, April 17-19, 1996

95. Miyamori Т., Olukotun K.REMARC: Reconfigurable Multimedia Array Coprocessor; Proc. ACM/SIGDA FPGA98, Monterey, Feb. 1998

96. Moll L., Vuillemin J. Boucard P. High Energy Physics on DECPeRLe-1 Programmable Active Memory. ACM/SIGDA International Symposium on Field Programmable Gate Arrays. Monterey, CA pp. 47—52. URL: http://citeseer.nj.nec.com/moll95highenergy.html

97. Nekoogar F. Timing Verification of Application-Specific Integrated Circuits Hardcover 179 pages 1st edition (June 1999) Prentice Hall PTR; ISBN: 0137943482

98. Page I. Hardware-software Co-synthesis. Research at Oxford, UMIST Vacation School on Hardware/Software Co-Design.

99. Pospiech F. VSIA: So What's a platform? Alcatel URL: http://www.vsi.org/library/pressrelease/03050202.pdf

100. R. Gonzalez and Xtensa A Configurable and Extensible Processor, IEEE Micro, 20(2), March/April 2000.

101. R. Hartenstein, M. Herz, T. Hoffmann, U. Nageldinger. Mapping Applications onto reconfigurable KressArrays. URL: http://www.michael-herz.de/publications/fpl99.pdf

102. Reetinder P., Wadhwa S., Prasanna V., A Self-Reconfigurable Gate Array Architecture. FPL 2000 pp. 106-120. URL: http:// cite-seer.nj.nec.com/sidhuOOselfreconfigurable.html

103. Richter K., Ernst R. Hierarchical Specification Methods for Platform-Based Design. URL: http://www.ida.ing.tubs.de/research/publications/ps/REWO 1 :HieraSpeciMethoPlatf.pdf

104. Richter K., Ziegenbein D. Ernst R. Model composition for scheduling analysis in platform design. In submitted to Proceeding 39th Design Automation Conference, New Orleans, USA, June 2002. url: http://citeseer.nj.nec.com/richter02model.html

105. Richter K., Ziegenbein D., Jersak M. Bottom-Up Performance Analysis of HW/SW Platforms. URL: http://citeseer.nj.nec.com/richter02bottomup.html

106. Prakash S., Parker A. SOS: synthesis of application-specific heterogeneous multiprocessor systems. Journal of Parallel and Distributed Computing, vol.16, pp.338-351, 1992.

107. Salefski B. Caglar L. Re-Configurable Computing in Wireless. Design Automation Conference. URL: http://citeseer.nj.nec.com/508898.html

108. Sangiovanni-Vincentelli A. Defining Platform-based Design, url: http://www.eedesign.com/features/exclusive/OEG20020204S0062

109. Schewel J. A Hardware / Software Co-Design System using Configurable Computing Technology. URL:http://www.vcc.com/Papers/IPPS98.pdf

110. Schewel J. DISC: The dynamic instruction set computer. Field Programmable Gate Arrays (FPGAs) for Fast Board Development and Reconfigurable Computing, SPIE 2607, 1995, p. 92-103.

111. Simon D. Peter Y. K. Luk W. SONIC A Plug-In Architecture for Video Processing. IEEE Symposium on FPGAs for Custom Computing Ma-chines.URL: http://citeseer.nj .nec.com/haynes99sonic.html

112. Singh H. MorphoSys: An Integrated Re-configurable Architecture; Proc. of the NATO RTO Symposium on System Concepts and Integration, Monterey, CA, USA, April 20-22, 1998

113. Stitt G., Grattan B. Using On-Chip Configurable Logic to Reduce Embedded System Software Energy. URL: http://citeseer.nj.nec.com/stitt02using.html

114. The programmable Logic Data Book. XILINX. - 2000

115. VHDL Language Manual. IEEE PRESS. - 1994

116. Waingold E. Baring it all to Software: RAW Machines; IEEE Computer, September 1997, pp. 86-93

117. Weinhardt M. Luk W. Pipeline Vectorization for Reconfigurable Systems. URL: http://citeseer.nj.nec.com/weinhardt99pipeline.html

118. Wingard D., Kurosawa A. Integration Architecture for System-on-a-Chip Design Proc. of the 1998 Custom Integrated Circuit Conference, pp. 85 88, May 1998.

119. Wirth N. Hardware Compilation: Translating Programs into Circuits. IEEE Computer 31(6), 1998, p. 25-31.

120. WolfW. H. Hardware-Software Co-Design of Embedded Systems. Proceedings of the IEEE, vol. 82, n. 7, pp. 967 989, July 1992.

121. Zhong P. Martonosi M. Using reconfigurable hardware to customize memory hierarchies. URL: http://citeseer.nj.nec.com/zhong96using.html

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.