Высокопроизводительная система управления многокоординатными технологическими мехатронными объектами на основе память-ориентированного подхода и распределения вычислений тема диссертации и автореферата по ВАК РФ 05.02.05, кандидат наук Харьков Михаил Александрович

  • Харьков Михаил Александрович
  • кандидат науккандидат наук
  • 2021, ФГБОУ ВО «Московский государственный технологический университет «СТАНКИН»
  • Специальность ВАК РФ05.02.05
  • Количество страниц 203
Харьков Михаил Александрович. Высокопроизводительная система управления многокоординатными технологическими мехатронными объектами на основе память-ориентированного подхода и распределения вычислений: дис. кандидат наук: 05.02.05 - Роботы, мехатроника и робототехнические системы. ФГБОУ ВО «Московский государственный технологический университет «СТАНКИН». 2021. 203 с.

Оглавление диссертации кандидат наук Харьков Михаил Александрович

Введение

Глава 1. Анализ решений в области построения систем управления мехатронными технологическими комплексами

1.1 Проблема построения высококачественных систем управления для прецизионных и высокопроизводительных технологических мехатронных комплексов

1.2 Основные задачи и средства управления технологическими мехатронными комплексами

1.3 Анализ промышленных протоколов передачи данных для технологических мехатронных комплексов

1.4 Анализ влияния длительности такта цифрового управления на динамические свойства мехатронных и робототехнических систем

1.5 Выводы по результатам первой главы

Глава 2. Разработка структуры быстродействующей распределенной системы управления мехатронными комплексами на основе память-ориентированного подхода

2.1. Анализ структур цифровых систем управления технологических робототехнических и мехатронных комплексов и обоснование требуемой длительности цикла управления

2.2. Математическая модель пятикоординатного обрабатывающего центра с глобусным поворотным столом как пример многокоординатной технологической мехатронной системы

2.3. Разработка алгоритма решения обратной задачи кинематики для управления пятикоординатным обрабатывающим центром с глобусным поворотным столом и анализ времени его выполнения

2.4. Формирование структуры высокопроизводительного цифрового устройства управления мехатронными системами на основе принципа распределенных вычислений и память-ориентированного подхода

2.5. Выводы по результатам второй главы

Глава 3. Разработка распределенной системы управления технологическими мехатронными комплексами на основе память-ориентированного подхода

3.1 Разработка программно-аппаратной архитектуры центрального и периферийных компонентов распределенной системы управления

3.2 Разработка коммуникационной системы в составе распределенной системы управления на основе память-ориентированного подхода

3.3 Реализация кинематического вычислительного модуля системы управления пятикоординатного обрабатывающего центра

3.4 Выводы по результатам третьей главы

Глава 4. Примеры практического применения разработанной высокопроизводительной распределенной системы управления мехатронными комплексами

4.1 Система смены инструмента фрезерного станка на базе распределенной системы управления

4.2 Система безопасности мехатронного технологического оборудования и защиты оператора на базе распределенной системы управления

4.3 Натурные испытания пятикоординатной механической обработки на обрабатывающем центре с глобусным поворотным столом

4.4 Выводы по результатам четвёртой главы

Заключение

Список сокращений

Список литературы

Приложение А. Листинг программы расчета ОЗК и вычисления времени на

персональном компьютере

Приложение Б. Программно-аппаратная архитектура центрального

вычислительного модуля распределенной системы управления

Приложение В. Аппаратная архитектура периферийного модуля распределенной

системы управления

Приложение Г. Архитектура контроллера связи в составе модулей распределенной системы управления

Приложение Д. Технологическая программа для ПЛК процесса смены

инструмента

Приложение Е. Аппаратная архитектура модуля контроллера безопасности

распределенной системы управления

Приложение Ж. Результаты испытания процесса пятикоординатной механической

обработки с использованием разработанной системы управления

Приложение З. Справка об использовании диссертационного исследования

Рекомендованный список диссертаций по специальности «Роботы, мехатроника и робототехнические системы», 05.02.05 шифр ВАК

Введение диссертации (часть автореферата) на тему «Высокопроизводительная система управления многокоординатными технологическими мехатронными объектами на основе память-ориентированного подхода и распределения вычислений»

ВВЕДЕНИЕ

Актуальность темы исследования. Российские машиностроительные предприятия в настоящее время остро нуждаются в техническом перевооружении. Высокоэффективное и экономичное оборудование должно прийти на смену физически изношенному и морально устаревшему [1]. На пути технического перевооружения на предприятиях появляются новые интеллектуальные мехатронные машины, такие как сложные станки и робототехнические комплексы, обладающие качественно новыми функциями и свойствами. Совершенствование машин и инструментов, а также появление новых технологий обработки формируют основы для технологического прорыва в сфере создания новых образцов промышленного оборудования для стратегических отраслей. В связи с этим растут скорость и точность обработки деталей, что в свою очередь повышает требования к системам управления мехатронным технологическим оборудованием. Растут требования как к системам непосредственного формообразования, так и к обслуживанию вспомогательных и защитных систем станочного и робототехнического оборудования [2], а также автоматизированных линий.

Решением задач контурного управления (геометрическая задача) и обслуживания вспомогательных систем (логическая задача) занимаются системы управления, в том числе системы числового программного управления (ЧПУ). Современная система управления представляет собой сложный программно-аппаратный комплекс на базе цифровых вычислительных устройств, решающий совокупность задач управления движением роботов и станков со сложной кинематической структурой. Таким образом, развитие отечественных систем управления сложными мехатронными комплексами и импортозамещение систем управления на российских предприятиях имеют важное значение, поскольку

являются основным фактором в обеспечении технологической независимости государства.

В процессе выполнения циклических задач система управления производит ряд вычислений, ограниченных во времени исполнения. Превышение времени вычисления недопустимо и может привести к потере устойчивости управления и аварии. Производительность вычислений в свою очередь напрямую зависит от аппаратной и программной архитектуры электронно-вычислительного ядра системы управления.

Технологические мехатронные системы представляют собой совокупность механических агрегатов, осуществляющих технологическую операцию, и электрических и электронных компонентов системы управления. Многокоординатные обрабатывающие центры и промышленные роботы, а также их технологические связки, с течением времени являются все более востребованными производственными мехатронными комплексами и приходят на смену простому технологическому оборудованию. Поскольку многокоординатные обрабатывающие центры и промышленные роботы имеют сложную кинематическую структуру, для управления этими объектами необходимо производить расчет обратной задачи кинематики, что накладывает на систему управления объектами требования для обеспечения достаточной вычислительной мощности.

Таким образом, повышение эффективности решения задач управления в реальном времени технологическими мехатронными и робототехническими системами и обеспечение требуемой вычислительной мощности за счет архитектурных решений цифровых вычислительных устройств является актуальной задачей исследования.

Степень разработанности темы исследования. Мехатроника, как направление в науке и технике, в настоящее время интенсивно развивается. Важными работами в области мехатроники, в которых изложены подходы и принципы построения мехатронных систем, являются [3, 4, 5, 6, 31].

Решения, принимаемые при создании современных мехатронных систем, в значительной степени опираются на результаты глубоких исследований в области теории автоматического управления (ТАУ). Среди фундаментальных работ по ТАУ следует отметить [7, 8, 9, 13].

Разработанные В.В. Солодовниковым [10-12] частотные методы анализа, синтеза и проектирования автоматических систем широко применялись на практике и были обобщены на дискретные системы управления. Огромный вклад в теорию автоматического управления и регулирования, кибернетику, а также в развитие систем управления технологическим оборудованием, станками и роботами, в том числе, на базе микропроцессорных устройств внесли В.А. Бесекерский [13, 14] и Е.П. Попов [13, 15]. Вопросы теории и практики создания цифровых систем управления движением, которые применяются в современных технологических мехатронных комплексах, достаточно глубоко рассмотрены в исследованиях [16, 17, 18, 19].

Изучению и разработке компьютерных систем управления движением многокоординатных технологических систем (станков и роботов) посвящены работы [4, 20, 21, 22, 23]. Построению аппаратных систем числового программного управления технологическим промышленным оборудованием посвящены исследования и труды В.Л. Кошкина [24, 25]. В работах Сук-Хван Су (Suk-Hwan Su) [26] и В.Л. Сосонкина [21, 22, 23, 27, 28] представлен теоретический базис синтеза систем числового программного управления на основе операционных систем и разработки средств автоматизации технологических процессов. Также труды описывают варианты архитектуры систем управления движением и электроавтоматикой оборудования и решения в области коммуникации составных частей этих систем. В качестве примеров были представлены достижения основных участников рынка автоматизации. Стоит также отметить труды В.С. Бурцева [29, 30] за огромный вклад в развитие высокопроизводительных систем и многопроцессорных вычислительных комплексов, оказавших влияние на многие области науки и техники. В работах Ю.В. Подураева [5, 6, 31, 32] и Ю.В. Илюхина [32, 33] даны базовые понятия и

предметная область мехатроники, методы построения и анализа мехатронных систем. Также изложены алгоритмы реализации цифровых регуляторов и вопросы цифрового управления многокоординатными технологическими объектами, характерными для робототехники и станкостроения.

Вместе с тем, пока недостаточно исследовано влияние структур и аппаратных средств цифровых устройств управления мехатронными системами на вычислительную производительность таких устройств и, в конечном счёте, на уровень динамических свойств мехатронных систем. Не в полной мере изучены вопросы построения устройств управления мехатронными системами на основе новых аппаратно-программных подходов, позволяющих сократить длительность цикла управления за счёт применения софт-процессорных элементов и эффективного распределения вычислений между аппаратно реализованными компонентами устройства управления. Поэтому представляется актуальной тема исследования, направленная на разработку и исследование свойств новых структур систем управления многокоординатными обрабатывающими центрами и промышленными роботами.

Объектом исследования является система управления технологических мехатронных и робототехнических комплексов.

Предметом исследования является структура и компоненты систем управления технологическими мехатронными и робототехническими комплексами, обеспечивающие сокращение длительности цикла цифрового управления для улучшения динамических свойств комплексов и, в первую очередь, повышение производительности и точности реализуемых ими движений.

Цель исследования - расширение функциональных возможностей и улучшение динамических свойств технологических мехатронных и робототехнических комплексов в результате построения новой структуры системы управления с высокопроизводительными вычислительными устройствами управления, построенными в соответствии с память-ориентированным подходом и использующими софт-процессорные компоненты и распределение вычислений.

Задачи исследования. Для достижения поставленной цели исследования необходимо решить следующие научные задачи:

1. Выполнить анализ задач, методов и средств управления автоматизированным промышленным оборудованием, мехатронными и робототехническими комплексами, а также архитектурные решения и структуры систем управления технологическими мехатронными комплексами;

2. Определить требования к длительности цикла управления мехатронными и робототехническими системами и проанализировать влияние, которое оказывает на неё структура цифровых вычислительных устройств;

3. Разработать структуру программно-аппаратного ядра цифрового вычислительного устройства системы управления технологическими мехатронными комплексами на базе принципа распределенных вычислений и сделать оценку ее функциональной структуры;

4. Разработать алгоритм решения обратной задачи кинематики для управления технологической мехатронной системой в виде пятикоординатного обрабатывающего центра с глобусным поворотным столом;

5. Разработать модульную архитектуру высокопроизводительной распределенной системы управления мехатронными комплексами и интерфейс взаимодействия модулей на основе память-ориентированного подхода;

6. Разработать специализированный высокопроизводительный вычислительный модуль решения обратной задачи кинематики для пятикоординатного обрабатывающего центра с глобусным поворотным столом;

7. Разработать прикладные решения на базе модульной архитектуры распределенной системы управления технологическими мехатронными системами, включая модули ввода/вывода для управления электроавтоматикой и контроллер безопасности для комплексной системы обеспечения промышленной безопасности и защиты оператора пятикоординатного обрабатывающего центра.

Научной новизной обладают:

1. Математическая модель кинематики многокоординатной мехатронной системы в виде вертикально-фрезерного пятикоординатного обрабатывающего

центра с глобусным поворотным столом, включающая решения прямой и обратной задач о положении режущей части инструмента относительно обрабатываемой детали и ориентированная на использование быстродействующих программно-аппаратных вычислителей;

2. Структура распределённой системы управления технологических мехатронных и робототехнических комплексов с высокопроизводительным программно-аппаратным вычислителем, формируемым в соответствии с память-ориентированным подходом и принципом распределенных вычислений, обладающим двухъядерной софт-процессорной архитектурой и объединяющим центральный и внешние периферийные модули сетью передачи данных с топологией «кольцо»;

3. Математические зависимости, лежащие в основе построения быстродействующего программно-аппаратного вычислительного модуля на базе софт-процессоров в топологии ПЛИС, решающего обратную задачу кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом.

4. Метод повышения частоты синхронизации данных между модулями системы управления, основанный на применении контроллера кольцевого интерфейса и нового протокола связи, реализующих память-ориентированный подход к построению систем управления мехатронными объектами.

Теоретическая значимость диссертационной работы заключается в новой научно обоснованной структуре, математическом описании и алгоритмах системы управления технологических мехатронных и робототехнических комплексов, формируемых на основе высокопроизводительных программно-аппаратных вычислителей, построенных на основе память-ориентированного подхода. Предложенное научно-техническое решение отличается наличием в процессорной архитектуре устройства управления программно-аппаратных вычислительных и коммуникационных компонентов, интегрированных на основе память-ориентированного подхода и обеспечивающих высокую стабильность и

быстродействие управляющих алгоритмов благодаря использованию софт-процессорных компонентов и распределению вычислений.

Важное значение для науки в области мехатроники и робототехники имеют математические модели и алгоритм решения обратной задачи кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом.

Практическая значимость:

1. Разработана программно-аппаратная процессорная архитектура для управления технологическими мехатронными и робототехническими системами, обладающими высокими динамическими характеристиками;

2. Разработан высокопроизводительный контроллер кольцевого интерфейса и протокола связи в составе программно-аппаратной архитектуры центрального и периферийных модулей, реализующий память-ориентированный подход и позволяющий разрабатывать гамму периферийных модулей для реализации распределенных вычислений и решения поставленных задач;

3. Разработан быстродействующий вычислитель в составе программно-аппаратной архитектуры, предназначенный для решения обратной задачи кинематики при управлении пятикоординатным обрабатывающим центром с глобусным поворотным столом, что дает возможность развивать предложенные методы для управления технологическими мехатронными системами со схожей кинематической структурой, при условии наличия аналитического решения;

4. Разработан алгоритм и внедрена система безопасности оборудования и защиты оператора, реализованная на основе центрального и периферийных модулей распределенной системы управления, как элемент общей электроавтоматики технологических мехатронных комплексов.

5. Разработан программируемый контролер управления электроавтоматикой на основе новой архитектуры центрального и периферийных модулей и внедрен для управления автоматической сменой инструмента и другими вспомогательными системами нескольких видов технологического оборудования.

Методы исследования. При решении поставленных задач в работе использованы методы построения и анализа мехатронных систем, аналитической геометрии и матричного исчисления, концепция объектно-ориентированного программирования (абстракция). Исследование системы управления выполнялось методами имитационного моделирования на ПК и натурных экспериментов на лабораторном и производственном оборудовании.

Положения, выносимые на защиту:

1. Математическая модель кинематики, решения прямой и обратной задач о положении инструмента относительно обрабатываемой детали многокоординатной мехатронной технологической системы в виде вертикально-фрезерного пятикоординатного обрабатывающего центра с глобусным поворотным столом;

2. Структура обладающей гибким вычислительным параллелизмом распределённой системы управления технологических мехатронных и робототехнических комплексов с высокопроизводительным программно-аппаратным вычислителем, формируемым в соответствии с память-ориентированным подходом и принципом распределенных вычислений;

3. Математические зависимости, лежащие в основе построения быстродействующего вычислительного модуля на базе софт-процессоров в топологии ПЛИС, решающего обратную задачу кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом;

4. Метод повышения частоты синхронизации данных между модулями системы управления за счет применения в составе программно-аппаратной архитектуры центрального и периферийных модулей контроллера кольцевого интерфейса и нового протокола связи, реализующих память-ориентированный подход к построению систем управления мехатронными объектами.

Достоверность полученных результатов подтверждается использованием программных продуктов для моделирования и обработки результатов эксперимента, согласованностью результатов компьютерного моделирования и

экспериментальных исследований, а также результатами тестирования алгоритмов и моделей в системе управления на нескольких видах станочного оборудования.

Апробация работы. Теоретические и практические результаты, полученные автором, докладывались на заседаниях «Международной инновационной конференции молодых учёных и студентов по современным проблемам машиноведения» (МИКМУС-2017) в секции «Механика машин и управление машинами, робототехника», на XII международной конференции «Машиноведение: традиции и инновации» (МТИ-2019), на 12-й «Мультиконференции по проблемам управления» (МКПУ-2019) в секции «Робототехника и мехатроника», а также на XVI Всероссийской научно-практической конференции «Перспективные системы и задачи управления» (ВНПК ПСиЗУ 2021).

Результаты работы были использованы при реализации государственного контракта № 14411.169999.05.001 от 22 декабря 2014 г. «Масштабное импортозамещение технологий металлообработки за счет разработки отечественной микроэлектронной и программно-аппаратной системы числового программного управления станком совместно со специализированным управляющим программным обеспечением мирового уровня» Шифр «Микроэлектроника металлообработки», а также для реализации государственного задания №075-03-2020-079/2 (№FSFS-2020-0031) от 13.03.2020 «Развитие теоретических основ проектирования когнитивных принципов управления коллаборативными робототехническими комплексами с использованием глубинного обучения и машинного зрения».

На основе результатов работы создано 5 объектов интеллектуальной собственности в виде свидетельств о государственной регистрации программ для ЭВМ. Результаты работы были опробованы и внедрены в систему управления станками серии КВС-В4 («КЭМЗ», г. Ковров), СА535 («САСТА», г. Сасово) и S500 (Стерлитамакский станкостроительный завод, Группа «СТАН»).

Соответствие диссертации паспорту специальности. В диссертации решены задачи синтеза структуры мехатроных систем, проектирования

управляющих компонентов, входящих в состав робототехнических и мехатронных систем, и повышения эффективности таких систем. Поэтому диссертация соответствует п. 1, 5 и 7 областей исследования в паспорте научной специальности 05.02.05 - Роботы, мехатроника и робототехнические системы (технические системы).

Публикации. По теме диссертации опубликовано 15 научных статей (из них 6 в журналах, входящих в перечень ведущих рецензируемых научных журналов и изданий, рекомендованных ВАК, и 3 в журналах, индексируемых WebOfScience и Scopus).

Структура и объем диссертационной работы. Работа состоит из введения, четырех глав, заключения, списка литературы из 139 наименований и 7-ми приложений. Работа изложена на 203 страницах машинописного текста, содержит 81 рисунок, 7 таблиц.

ГЛАВА 1. АНАЛИЗ РЕШЕНИЙ В ОБЛАСТИ ПОСТРОЕНИЯ СИСТЕМ УПРАВЛЕНИЯ МЕХАТРОННЫМИ ТЕХНОЛОГИЧЕСКИМИ

КОМПЛЕКСАМИ

Промышленные предприятия в современном мире испытывают серьезную конкуренцию между собой. Каждое из них стремиться удовлетворить спрос потребителя на качественную и недорогую продукцию в сжатые временные рамки. Применение эффективных автоматизированных систем управления технологическими процессами (АСУ ТП) и промышленными мехатронными комплексами, такими как робот или станок ЧПУ, является единственным способом обеспечения необходимых темпов производства и удовлетворения потребителей в условиях жесткой конкуренции. Применение средств АСУ ТП и роботизация позволяют существенно повысить производительность труда, обеспечить безопасность на вредных и опасных производствах, снизить производственные издержки и улучшить качество продукции. Еще одним преимуществом применения средств автоматизации является возможность гибкой и быстрой модернизации и переналадки оборудования, что позволяет расширить номенклатуру производимой продукции.

В связи с развитием и широким применением в последнее время автоматизированных систем управления предприятием происходит переход от управления отдельным автоматизированным участком к единому информационному пространству промышленного предприятия в целом. Очевидно, что реализация такой концепции без применения современных систем управления невозможна. Сбор технологической информации с отдельных автоматизированных единиц и передача ее на уровень MES и ERP систем [34], т.е. на уровень управления предприятием, позволяет существенно повысить качество планирования процессов управления, таких как поставка и хранение заготовок и

комплектующих, складирование и транспортировка готовой продукции, а также других более высокоуровневых процессов [35].

Также актуально применение систем управления роботов и СЧПУ на предприятиях военно-промышленного комплекса, что предъявляет системам дополнительные требования по уровню сохранности технологической информации и отказоустойчивости. Применение зарубежных средств автоматизации в этом случае несет стратегические риски [36].

Необходимость разработки и импортозамещения [37] эффективных систем управления технологических мехатронных комплексов очевидна, поскольку это не только дает возможность для развития промышленного производства и средств управления предприятием, но и обеспечивает технологическую независимость государства. Исследованию архитектурных решений в области систем управления роботов и СЧПУ, а также синтезу и повышению эффективности программно-аппаратной архитектуры системы управления будет посвящена эта работа.

1.1 Проблема построения высококачественных систем управления для прецизионных и высокопроизводительных технологических мехатронных

комплексов

Современное автоматизированное промышленное оборудование представляет собой конструктивно и функционально самостоятельные изделия, состоящие из элементов различной физической природы, предназначенных для решения технологических задач. Среди множества решаемых задач, развитием отдельных компонентов для достижения эффективности автоматизированных комплексов в целом занимается междисциплинарная инженерная наука -мехатроника, поскольку в государственном образовательном стандарте РФ по направлению «Мехатроника и робототехника» понятие «мехатроника» определяется как «отдельная область науки и техники, основанная на

синергетическом объединении узлов точной механики с электронными, электротехническими и компьютерными компонентами, обеспечивающими проектирование и производство качественно новых модулей, систем и машин с интеллектуальным управлением их функциональными движениями».

Модули и системы, построенные по мехатронному принципу, широко применяются в различных сферах народного хозяйства, таких как:

— железнодорожный транспорт;

— автомобилестроение;

— офисная и бытовая техника;

— медицинское и спортивное оборудование;

— авиационная, космическая и военная техника;

— промышленная робототехника и станкостроение.

При этом область применения динамично развивается, так как позволяет удовлетворить растущие требования к качеству и экономическим показателям товаров. Интерес данного исследования направлен на сферу робототехники, станкостроения и оборудования для автоматизации технологических процессов, поскольку эта область напрямую влияет на совершенствование и конкурентное преимущество продукции других отраслей [38].

Поскольку та или иная функция может быть реализована различными физическими принципами, при синтезе мехатронного комплекса необходимо учитывать экономические критерии. В связи с этим в последнее время наметилась четкая тенденция на замещение функций, традиционно выполняемых механическими элементами, на электронно-цифровые функциональные аналоги [31, с.122]. Это связано с некоторыми недостатками механических узлов по сравнению с их аналогами, имеющими электронную и цифровую природу, среди них: усталость и износ материала, относительная дороговизна изготовления, недостаточная гибкость в эксплуатации. При этом за последние несколько лет электронные компоненты и цифровые системы получили стремительное развитие и широкое распространение, что, как следствие, существенно снизило их

стоимость. В связи с наметившейся тенденцией следует направить усилие на совершенствование цифровых алгоритмов управления сложных машин и комплексов.

Исторически сложились различные подходы к построению систем управления. На разных полюсах стоят аппаратные системы управления и программные системы управления на базе ПК (рисунок 1.1).

Аппаратные Программные на базе ПК

Кошкин В J!., Sean Murray, William Floyd-Jones, Сосонкин В Jl., Suk-Hwan Suh, Ian Stroud,

Konrad Gac, Shiqi Lian, YinheHan Seong-Kyoon Kang

Пре имущества:

Высокая надежность и энергоэффективность • Легкость внесения изменений

Низкая необходимая тактовая частота

вычислителей

Низкая цена при массовом выпуске

Недостатки:

Сложность внесения изменений • Низкая надежность и энергоэффективность

Аппаратная избыточность Высокая необходимая тактовая частота вычислителей

Рисунок 1.1. Различные подходы к построению систем управления

Аппаратные СУ на заре развития ЧПУ строились на базе больших и сверхбольших интегральных схем, а также других аппаратных средств. Современные производители с богатой традицией производства микроэлектронный аппаратуры до сих пор применяют некоторые аппаратные решения. Алгоритмы в СУ на базе ПК реализовываются в программном коде. Разные подходы к построению имеют своих последователей среди ученых и специалистов. И тот и другой подход имеют свои преимущества и недостатки, поэтому на современном этапе развития целесообразно применять гибридные структуры систем.

Похожие диссертационные работы по специальности «Роботы, мехатроника и робототехнические системы», 05.02.05 шифр ВАК

Список литературы диссертационного исследования кандидат наук Харьков Михаил Александрович, 2021 год

СПИСОК ЛИТЕРАТУРЫ

1. Харьков, М.А. Распределенная система управления электроавтоматикой станков, промышленных роботов и автоматизированных комплексов на основе высокопроизводительного интерфейса связи. / М.А. Харьков, С.П. Ивановский, А.А. Зеленский, Т.Х. Абдуллин // Вестник МГТУ "Станкин". - 2018. - №1 (44). - С. 91-95.

2. Зеленский, А.А. Высокопроизводительная система числового программного управления на базе программируемых логических интегральных схем. / А.А. Зеленский, М.А. Харьков, С.П. Ивановский, Т.Х. Абдуллин // Вестник Воронежского государственного технического университета. - 2018. - Том 14 №5. - С. 8-12.

3. Мехатроника / Исии Т., Симояма И., Иноуэ Х. и др. Пер. с япон. - М.: Мир, 1988. - 318с.

4. Зенкевич С.Л., Ющенко А.С. Управление роботами. Основы управления манипуляционными роботами: Учеб. Для вузов - М.: Изд-во МГТУ им. Н.Э. Баумана, 2000. - 400 с.

5. Подураев Ю.В., Кулешов В.С. Принципы построения и современные тенденции развития мехатронных систем // Мехатроника, 2000, №1, с. 5-10.

6. Подураев Ю.В. Построение мехатронных модулей на основе синергетической интеграции элементов // Мехатроника, 2000, №2, с. 22-26.

7. Иванов В.А. Математические основы теории автоматического управления: учебное пособие: в 3 т. / В.А. Иванов, В.С. Медведев, Б.К. Чемоданов, А.С. Ющенко. - Т.2. - М.: Изд-во МГТУ им. Баумана, 2008. - 616 с.

8. Солодовников В.В., Плотников В.С., Яковлев А.В. Теория автоматического управления техническими системами. - М.: Изд-во МГТУ им. Баумана, 1993.

9. Алгоритмы и программы проектирования автоматических систем / Под ред. П.Д. Крутько. - М.: Радио и связь, 1988. - 306 с.

10. Основы автоматического регулирования [Текст] / Под ред. д-ра техн. наук проф. В. В. Солодовникова. - Москва: Машгиз, 1954-1963. - 4 Т.

11. Автоматизированное проектирование систем автоматического управления / Под ред. В. В. Солодовникова. - М.: Машиностроение, 1990 - 332 с.

12. Солодовников, В.В. Микропроцессорные автоматические системы регулирования: Основы теории и элементы: [Учеб. пособие для техн. вузов по спец. "Автоматика и управление в техн. системах" / В.В. Солодовников, В.Г. Коньков, В.А. Суханов, О.В. Шевяков. // - М.: Высш. шк., - 1991. - 254 с.

13. Бесекерский, В.А. Теория систем автоматического регулирования. Издание третье, исправленное. / В.А. Бесекерский, Е.П. Попов // Издательство «Наука», Главная редакция физико-математической литературы, М. - 1975. - 768 с.

14. Бесекерский, В. А. Микропроцессорные системы автоматического управления. / В.А. Бесекерский, Н.Б. Ефимов, С.И. Зиатдинов, В. В. Изранцев, А.В. Небылов, Н.Г. Соколов, Е.А. Фабрикант // Ленинград, издательство Машиностроение. Ленингр. отделение. - 1988. - 365 с.

15. Попов, Е.П. Робототехника и гибкие производственные системы / Е. П. Попов. // М.: Наука. - 1987. - 190 с.

16. Бесекерский В.А. Цифровые автоматические системы. - М.: Наука, 1976. - 576 с.

17. Изерман Р. Цифровые системы управления. - М.: Мир, 1984. - 541 с.

18. Куо Б. Теория и проектирование цифровых систем управления. - М.: Машиностроение, 1986. - 448 с.

19. Острём К., Виттенмарк Б. Системы управления с ЭВМ. - М.: Мир, 1987. - 480 с.

20. Байков В.Д., Вашкевич С.Н. Решение траекторных задач в микропроцессорных системах ЧПУ - Л.: Машиностроение, 1986. -106 с.

21. Мартинов Г.М., Сосонкин В.Л. Концепция числового программного управления мехатронными системами: проблема реального времени // Мехатроника, 2000, №3, с. 37-41.

22. Сосонкин В.Л. Микропроцессорные системы числового программного управления станками. - М.: Машиностроение, 1985. - 288 с.

23. Сосонкин В.Л., Мартинов Г.М. Концепция числового программного управления мехатронными системами: архитектура систем типа PCNC // Мехатроника, 2000, №1, с. 26-29.

24. Кошкин, В.Л. Аппаратные системы числового программного управления / В. Л. Кошкин. - М.: Машиностроение. - 1989. - 244 с.

25. Кошкин, В.Л. Теория и разработка СЧПУ на основе метода геометрических аналогий: диссертация доктора технических наук: 05.13.05 / В.Л. Кошкин // Моск. энергет. ин-т. - Москва. - 1989. - 449 с.

26. Suh S.-H. Theory and Design of CNC Systems. S.-H. Suh, S.-K. Kang, D.H. Chung, I. Stroud // Springer Series in Advanced Manufacturing. - 2008. - 477 с.

27. Сосонкин, В.Л. Программное управление технологическим оборудованием: Учебник / В.Л. Сосонкин. // - М.: Машиностроение, - 1991. - 512 с.

28. Сосонкин, В.Л. Системы числового программного управления: Учеб. пособие. / В.Л. Сосонкин, Г.М. Мартинов // - М.: Логос. - 2005. - 296 с.

29. Бурцев, В.С. Тенденции развития высокопроизводительных систем и многопроцессорные вычислительные комплексы / В.С. Бурцев // Ин-т точной механики и вычислит. техники им. С.А. Лебедева АН СССР. - Москва: ИТМИВТ. - 1977. - 28 с.

30. Бурцев, В.С. Параллелизм вычислительных процессов и развитие архитектуры суперЭВМ: сборник статей / В.С. Бурцев // Российская акад. наук, Ин-т проблем информатики. - Москва: Торус Пресс. - 2006. - 414 с.

31. Подураев, Ю.В. Мехатроника: основы, методы, применение. Учебное пособие для студентов вузов. / Ю.В. Подураев // - М.: Машиностроение, - 2006. -256 с.

32. Илюхин, Ю.В. Проектирование исполнительных систем роботов. / Ю.В. Илюхин, Ю.В. Подураев // - М.: Изд-во МПИ. - 1989. - 75 с.

33. Илюхин, Ю.В. Компьютерное управление мехатронными системами: учебное пособие. / Ю.В. Илюхин // - М.: ФГБОУ ВПО МГТУ «Станкин». - 2014. - 320 с.

34. Феофанов, А.Н. Применение MES-систем для контроля геометрических параметров подшипников / А.Н. Феофанов, М.А. Шутиков, К.С. Пономарев // Вестник МГТУ "Станкин". - 2018. - №4(47). - С. 119-121.

35. Ковалёв, И.А. Агрегирование информации о работе технологического оборудования с применением Industrial Internet of Things / И.А. Ковалёв, Д.Б. Квашнин, Р. А. Нежметдинов, В.В. Чекрыжов // Автоматизация в промышленности. - 2019. - №5. - С. 29-32.

36. Пищик, Б.Н. Безопасность АСУ ТП. / Б.Н. Пищик // Вычислительные технологии. - 2013. - том 18 №S1. - С. 170-175.

37. Маслов, А.Р. Пути замещения импорта металлорежущих станков / А.Р. Маслов // Вестник МГТУ "Станкин". - 2018. - №4(47). - С. 163-167.

38. International Federation of Robotics Press Conference. Электронный ресурс. Режим доступа свободный. URL https://ifr.org/downloads/press2018/IFR%20World%20Robotics%20Presentation%20-%2018%20Sept%202019.pdf

39. Жданов, И.Н. Оптимизация информационных подсистем следящих электроприводов прецизионных комплексов слежения. / И.Н. Жданов, В.М. Домрачев, К.М. Денисов // Научно-технический вестник информационных технологий, механики и оптики. - 2007. - №42. - С. 14-20.

40. Месропян, А. В. Особенности коррекции электрогидравлических следящих приводов. / А. В. Месропян // Вестник Самарского государственного аэрокосмического университета им. академика С.П. Королёва (национального исследовательского университета). - 2009. - №1(17). - С. 134-144.

41. Гусев, И.П. Функциональное проектирование программируемого логического контроллера. / И.П. Гусев // Проблемы современной науки и образования. - 2015. - №7(37). - С. 60-66.

42. Банников, Е.В. Использование ПЛК в промышленности. / Е.В. Банников // LV International correspondence scientific and practical conference. Boston. - 2015. - С. 25-28.

43. Страшун, Ю.П. Технические возможности перспективных программируемых контроллеров автоматизации (ПКА). / Ю.П. Страшун // Горный информационно-аналитический бюллетень (научно-технический журнал). - 2017. - №9. - С. 86-93.

44. Семенченко, И.Г. Архитектурная и алгоритмическая модель контроллера движения в системах ЧПУ намоточно-выкладочных станков. / И.Г. Семенченко, И.В. Шишков, А.А. Сидоренко // Известия высших учебных заведений. Северо-Кавказский регион. Технические науки. - 2017. - №2. - С. 1823.

45. Красильникъянц, Е.В. Системы управления движением технологических объектов. / Е.В. Красильникъянц, А.П. Бурков, В.А. Иванков, Г.А. Булдукян, В.В. Ельниковский, А.А. Варков // Вестник Ивановского государственного энергетического университета. - 2007. - №4. - С. 1-6.

46. Мартинов, Г.М. Принципы построения распределенной системы ЧПУ технологическими машинами с использованием открытой модульной архитектуры / Г.М. Мартинов, Л.И. Мартинова, Н.В. Козак, Р.А. Нежметдинов, Р.Л. Пушков // Справочник. Инженерный журнал. - 2011. - №12. - С. 44-50.

47. Гречишников, В.А. Концепция построения робототехнических комплексов для металлообработки и системы их инструментального обеспечения. / В.А. Гречишников, А.В. Исаев, Ю.В. Илюхин, П.М. Пивкин, А.А. Воротников, А.Н. Харченко, Дж. Бьянки, М. Леонезио, Н. Педрокки, Тосатти Л. Молинари // Вестник МГТУ "Станкин". - 2015. - №4(35). - С. 46-51.

48. Гойдин, О.П. Робототехнический комплекс с силомоментным очувствлением для демонтажных работ. / О.П. Гойдин, Е.В. Кураев, Ю.В. Подураев // Вестник МГТУ "Станкин". - 2015. - №1(32). - С. 78-82.

49. Гречишников, В.А. Инновационные решения инструментального обеспечения металлообрабатывающих и робототехнических комплексов в машиностроении / В.А. Гречишников, П.М. Пивкин, А.В. Исаев, Ю.В. Илюхин, А.А. Воротников, В.Б. Романов // Инновации. - 2016. - №8(214). - С. 78-83.

50. Аверьянов, Е.В. Инновации в отечественном станкостроении: модельный ряд вертикально-фрезерных обрабатывающих центров. / Е.В. Аверьянов, А.К. Бокач, А.Е. Ковалев, А.Э. Шалдов // Инновации. - 2016. -№8(214). - С. 71-77.

51. The Common Industrial Protocol. Электронный ресурс. Режим доступа свободный. URL https://www. odva. org/Technology- Standards/Common-Industrial -Protocol-CIP/Overview.

52. Кручинин, С.В. Особенности разработки методических материалов по курсам "Стек TCP/IP" и "Основы построения компьютерных сетей". / СВ. Кручинин // Научные дискуссии. - 2017. - №1. - С. 17-31.

53. Davidov, B. UDP обмен данными / B. Davidov // Портал научно-практических публикаций. Электронный ресурс. Режим доступа свободный. URL: http: //portalnp. ru/wp-content/uploads/2013/10/09.10_UDP-data-exchange_Ed_41. pdf

54. QoS. Электронный ресурс. Режим доступа свободный. URL http s: //ru.wikipedia. org/wiki/Qo S

55. Телегин, С.А. Протокол PTP для синхронизации сетей NGN. / С.А. Телегин // Первая миля. - 2009. - №5-6. - С. 20-23.

56. IEEE 802.3. Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/ IEEE 802.3

57. Денисенко, В.В. Метод управления обменом CSMA/CD. / В.В. Денисенко, К.А. Киреева, Е.М. Борникова // Аллея науки. - 2018. - №7(23). - С. 948-951.

58. Соснин, М.В. Внедрение технологии VLAN для разграничения доступа к ресурсам локальной сети. / М.В. Соснин // Аллея науки. - 2009. -№3(7). - С. 7-12.

59. Schumacher, М. Node to node synchronization accuracy requirements of Dynamic Frame Packing. / M. Schumacher, L. Wisniewski, J. Jasperneite, S. Schriegel // 2013 IEEE International Symposium on Precision Clock Synchronization for Measurement. - 2013.

60. Крылов, С.М. Программируемые системы на кристалле. Структуры, основные характеристики, применение: учебное пособие / С.М. Крылов. -Самара: Самар. гос. техн. ун-т, 2013 - 140 с.

61. Попов, А.Ю. Проектирование цифровых устройств с использованием ПЛИС. Учебное пособие для студентов вузов. / А.Ю. Попов // Издательство МГТУ им. Н.Э. Баумана, - 2009.

62. Гончаров, Ю. Интерфейс LVDS и его применение. / Ю. Гончаров // Компоненты и технологии. - 2001. - №4(13). - С. 52-55.

63. ГОСТ Р ИСО/МЭК 7498-1-99, Информационная технология. Взаимосвязь открытых систем. Базовая эталонная модель. [Текст] -М.: Издательство стандартов, 1999. - с 28.

64. Rostan, M. CANopen over EtherCAT - taking a CAN technology to the next level / M. Rostan // iCC 2005. CAN in Automation. - 2005. - С. 12-1-12-8.

65. Ethernet over EtherCAT (EoE). Электронный ресурс. Режим доступа свободный. URL https:// https://ethercat.org/en/technology.html#1.9.3

66. Климентьев, К.Е. Системы реального времени. / К.Е. Климентьев. // Самара: Изд. СГАУ - 2014.

67. Асей, Б. Понимание характеристик джиггера осциллографов / Б. Асей // Компоненты и технологии. - 2011. - №9. - С. 183-186.

68. Таненбаум, Э. Современные операционные системы. / Э. Таненбаум // 2-е изд. - М. - 2011.

69. Achieving Real-Time Performance on a Virtualized Industrial Control Platform. Электронный ресурс. Режим доступа свободный. URL

https://www.intel.com/content/dam/www/public/us/en/documents/white-papers/industrial-solutions-real-time-performance-white-paper.pdf.

70. Latency of Raspberry Pi 3 on Standard and Real-Time Linux 4.9 Kernel / Электронный ресурс. Режим доступа свободный. URL https://medium.com/@metebalci/latency-of-raspberry-pi-3-on-standard-and-real-time-linux-4-9-kernel-2d9c20704495.

71. Елманова, Н. Windows CE: средства создания платформ, SDK и приложений. / Н. Елманова // КомпьютерПресс. - 2001. - №3. Электронный ресурс. Режим доступа свободный. URL https:// https://compress.ru/article.aspx?id=10009.

72. Бурдонов, И.Б. Операционные системы реального времени. / И.Б. Бурдонов, А.С. Косачев, В.Н. Пономаренко // ИСП РАН. Предпринт 14, - 2006. -С. 14.

73. Белохвостиков, Э.А. Использование QNX и Adobe Flash при создании ЧМИ сложных промышленных систем. / Э.А. Белохвостиков // Труды международной научно-практической конференции "Передовые информационные технологии, средства и системы автоматизации и их внедрение на российских предприятиях" AITA-2011. - 2011. - С. 53-59.

74. Трубачева, С.И. Почему Linux и системы реального времени? / С.И. Трубачева // Вестник Волжского университета им. В.Н. Татищева. - 2015. -№2(24). - С. 99-105.

75. Стахнов, А. LINUX: Наиболее полное руководство. / А. Стахнов // СПб.: БХВ; Питер. - 2010.

76. Комбаров, В.В. Исследование влияния частоты цикла управления системы ЧПУ на точность обработки. / В.В. Комбаров, Е.А. Аксенов, Е.В. Комбарова // Вестник двигателестроения. - 2009. - №3. - С. 144-148.

77. Комбаров, В.В. Определение рациональной частоты цикла управления системы ЧПУ с учетом ограничения кинематических параметров при высокоскоростной обработке. / В.В. Комбаров, В.Ф. Сорокин // Авиационно-космическая техника и технология. - 2012. - №7(94). - С. 41-46.

78. Комбаров, В.В. Исследование влияния стабильности цикла управления системы ЧПУ на точность формообразующих движений. / В.В. Комбаров, В.Ф. Сорокин, Е.А. Криживец // Авиационно-космическая техника и технология. - 2015. - №10(127). - С. 58-64.

79. Grigoriev, S.N. Measurement problems in technological shaping processes. / S.N. Grigoriev, V.I. Teleshevskii // Measurement Techniques. 2011. Vol. 54. № 7. Р.

744—749.

80. Петрова, И.Ю. Информационно-измерительная и управляющая система контроля стойкости режущего инструмента для станков с ЧПУ. / И.Ю. Петрова, М.А. Ураксеев, А.В. Николаев // Электротехнические и информационные комплексы и системы. - 2016. - №3. - С. 87-90.

81. Каюмова, О.А. Технология плазменной обработки режущего инструмента / О.А. Каюмова, Р.Х. Охунова, М.Ж. Хамидова // Молодой ученый. -2017. - №1. - С. 40-42.

82. Фролов В.Я. Плазменная технология нанесения декоративных покрытий / В.Я. Фролов, Б.А. Юшин, И.С. Чуркин // Металлообработка. - 2009. -№1(49). - С. 20-23.

83. Оглезнев, Н.Д. Современное состояние и перспективы развития электроэрозионной обработки / Н.Д. Оглезнев // Известия Самарского научного центра Российской академии наук. - 2014. - том 16 №1(2). - С. 490-494.

84. Порватов, А.Н. Система мониторинга и управления процессом электроэрозионной обработки / А.Н. Порватов, М.П. Козочкин, А.Р. Маслов // Вестник МГТУ "Станкин". - 2018. - №4(47). - С. 144-149.

85. Головин, К.А. К вопросу о разработке современного гидроабразивного инструмента. / К.А. Головин, Е.В. Говорова // Известия Тульского государственного университета. Науки о земле. - 2010. - №1. - С. 155-162.

86. Денисов, А.С. Сравнение способов подачи абразива при гидроабразивном резании / А.С. Денисов, М.А. Казанский, И.И. Сазанов // Вестник МГТУ "Станкин". - 2013. - №1(24). - С. 29-33.

87. Сидорова, А.А. Определение наиболее эффективного метода настройки ПИД-регулятора / А.А. Сидорова // Проблемы информатики. - 2012. -№3(17). - С. 143-150.

88. Штейнберг, Ш.Е. Проблемы создания и эксплуатации эффективных систем регулирования / Ш.Е. Штейнберг, Л.П. Сережин, И.Е. Залуцкий // Пром. АСУ и контроллеры. - 2004. - №7. - С. 1-7.

89. Донской, Н.В. Постоянная составляющая в АИН с ШИМ и способы её устранения. / Н.В. Донской, К.А. Чубуков // Электротехника. - 2012. - №1. - С. 27.

90. Нечипоренко В.И. Структурный анализ систем. / В.И. Нечипоренко // - М.: Советское радио, 1977.

91. Николис, Дж. Динамика иерархических систем. Эволюционное представление. / Дж. Николис // - М.: Мир, 1989.

92. Оре О. Теория графов. / О. Оре // - М.: Мир, 1980.

93. Хорн Р. Матричный анализ. / Р. Хорн, Ч. Джонсон // - М.: Мир, 1980.

94. Гельман, М.В. Преобразовательная техника. Учебное пособие для студентов вузов. / М.В. Гельман, М.М. Дудкин, К.А. Преображенский // Издательский центр ЮУрГУ - 2009. - С. 34.

95. Тютиков, В.В. Компоненты программного обеспечения манипуляционного робота. / В.В. Тютиков, Е.В. Красильникъянц, А.А. Варков // Вестник Ивановского государственного энергетического университета. - 2011. -№4. - С. 40-43.

96. Munoz, D.M. FPGA Implementation for Direct Kinematics of a Spherical Robot Manipulator / D.M. Munoz, D.F. Sanchez, J.M. Motta and C.H. Llanos // International Conference on Reconfigurable Computing and FPGAs, Quintana Roo. -2009. - С. 416-421.

97. Рохин, В.Л. Оборудование автоматизированного производства. Учебно-методические материалы для самостоятельной работы студентов. / В.Л. Рохин // - М.: КГУ, - 2007. - 198 с.

98. Фу, К. Робототехника: пер. с англ. / К. Фу, Р. Гонсалес, К. Ли - М.: Мир, - 1989. - 624 с.

99. Ловыгин, А. Будущее CAM-систем / Электронный ресурс. Режим доступа свободный. URL https://planetacam.ru/articles/exclusive/the_future_of_cam

100. Tournier C. Tool Path Generation and Post-Processor Issues in Five-Axis High Speed Machining of Hydro Turbine Blades / C. Tournier, C. Castagnetti, S. Lavernhe, F. Avellan. // 5th International Conference on High Speed Machining, Metz, France. - 2006.

101. Grandguillaume L. A tool path patching strategy around singular point in 5-axis ball-end milling / L. Grandguillaume, S. Lavernhe, C. Tournier // International Journal of Production Research, Taylor & Francis, International Journal of Production Research. - 2016. - №24(54). - С. 7480-7490.

102. Affouard, A. Avoiding 5-axis singularities using tool path deformation / A. Affouard, E. Duc, C. Lartigue, J.-M. Langeron, P. Bourdet // International Journal of Machine Tools & Manufacture. - 2004. - №44. - С. 415-425.

103. Архитектура ARM / Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/ARM_(архитектура).

104. Soft-микропроцессор. Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/Soft-микропроцессор.

105. Разгоняев, В.К. Использование языка Verilog в курсах "Архитектура компьютера", "Вычислительные системы" и других аналогичного направления. / В.К. Разгоняев // Сборник материалов Международной научно-практической конференции "Информатизация образования: теория и практика". - 2014. - С. 230-233.

106. Воропаев, В.К. VHDL и Verilog - HDL-языки описания цифровой аппаратуры. / В.К. Воропаев, А.Ю. Медведков, Ж.Б. Садыков // Динамика систем, механизмов и машин. - 2014. - №4. - С. 15-18.

107. Ланцов, В.Н. Проектирование ПЛИС на VHDL: Учебное пособие / В.Н. Ланцов // Владимимирский государственный университет. Владимир - 2000. 121 с.

108. IP-cores / Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/IP-cores.

109. Kung, Y.-S. Design of Inverse Kinematics IP for a Six-Axis Articulated Manipulator / Y-S. Kung, M.-K. Wu, B. Linh, T.-H. Jung, S.-H. Lee, W.-C. Chen // CACS International Automatic Control Conference (CACS). - 2013. - С. 300-305.

110. Wu, M.-K. Fixed-Point Computation of Robot Kinematics in FPGA / M.K. Wu, Y.-S. Kung, Y-H. Huang, T.-H. Jung // International Conference on Advanced Robotics and Intelligent Systems (ARIS) - 2014. - С. 35-40.

111. Keeton K. The Machine: An Architecture for Memory-centric Computing. / K. Keeton // Workshop on Runtime and Operating Systems for Supercomputers (ROSS) June2015. - 2015. - С. 5.

112. Alhammad, A. Memory Efficient Scheduling for Multicore Real-time Systems. / A. Alhammad // A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Doctor of Philosophy in Electrical and Computer Engineering. 2016. Waterloo, Ontario, Canada.

113. Efnusheva, D. A Novel Memory-centric Architecture and Organization of Processors and Computers. / D. Efnusheva, G. Dokoski, A. Tentov, M. Kalendar // Proc. of the 3rd International Conference on Applied Innovations in IT, (ICAIIT). 2015.

114. Куцевол, В.Н. Подход к верификации модуля прямого доступа к памяти. / В.Н. Куцевол, А.Н. Мешков, М.П. Рыжов, П.В. Фролов // Труды института системного программирования РАН. - 2015. - №3. - С. 139-148.

115. Modbus. Электронный ресурс. Режим доступа свободный. URL http s: //ru.wikipedia. org/wiki/Mo dbus.

116. Haddock S. InterPacket Gap and Start of Packet Lane Alignment / S. Haddock // Extreme networks. - 2000. - 10 с.

117. Nios II. Материал из Национальной библиотеки им. Н. Э. Баумана. Электронный ресурс. Режим доступа свободный. URL https://ru.bmstu.wiki/Nios_II.

118. Микропроцессоры с RISC-архитектурой. Электронный ресурс. Режим доступа свободный. URL https://www.intuit.ru/studies/courses/604/460/lecture/10343.

119. Гурин Е. И. Построение систем на кристалле с подчинённым микропроцессорным ядром MicroBlaze на ПЛИС фирмы Xilinx. // Компоненты и технологии. - 2007. - №9. - С. 115-118.

120. Avalon® Interface Specifications. Электронный ресурс. Режим доступа свободный. URL https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/m nl_avalon_spec.pdf.

121. IEEE Std 1076.6™. IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis // IEEE - New York. - 2004. - 119 с.

122. Register Transfer Level, RTL - Уровень регистровых передач. Электронный ресурс. Режим доступа свободный. URL https: //ru.wikipedia. org/wiki/Уровень_регистровых_передач.

123. CPLD. Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/CPLD.

124. Rostan M. Industrial Ethernet Technologies: Overview and Comparison // EtherCAT Technology Group - Nuremberg. - 2014. - 165 с.

125. Мартинов, Г.М. Моделирование и реализация взаимодействия системы ЧПУ с автономным ПЛК на основе протокола TCP/IP. / Г.М. Мартинов, Н.В. Козак, С.В. Богданов // Материалы VI Всероссийской научно-практической конференции «Компьютерная интеграция производства и ИПИ-технологии». С. 325-330.

126. Каршенбойм, И. Контроллеры Fast Ethernet для встроенных применений / И. Каршенбойм // Компоненты и технологии. - 2003. - №5. - С. 8895.

127. Grow R. Gigabit Media Independent Interface Proposal / R. Grow, J. Twersky // IEEE 802.3z — Vancouver. - 1996. - 22 с.

128. Циклический избыточный код. Электронный ресурс. Режим доступа свободный. URL https://ru.wikipedia.org/wiki/Циклический_избыточный_код.

129. Gigabit Ethernet PHY Device Latency, Report 10.05.2015 / Электронный ресурс. Режим доступа свободный. URL

http://www.vitesse.com/products/download.php?fid=4307&number=VSC8601&action= do.

130. IEEE Standard for Floating-Point Arithmetic / IEEE Computer Society -2008. - 70 с.

131. Nios II Custom Instruction Overview. Электронный ресурс. Режим доступа свободный. URL https://www.intel.com/content/www/us/en/programmable/documentation/cru143993289 8327.

132. Зеленский, А.А. Высокопроизводительная цифровая система на основе ПЛИС для управления движением многокоординатных станков и промышленных роботов. / А.А. Зеленский, Т.Х. Абдуллин, Ю.В. Илюхин, М.А. Харьков // "СТИН" (СТанки ИНструмент). - 2019. - №8. - С. 5-8.

133. Стародубов, В.С. Автоматическая смена режущих инструментов на металлорежущих станках с ЧПУ на основе применения револьверных головок. / В.С. Стародубов // Известия высших учебных заведений. Машиностроение. -2012. - №5. - С. 31-36.

134. Савенков, Д.Р. Совершенствование устройств автоматической смены инструмента многоцелевых станков. / Д.Р. Савенков, К.А. Украженко // Шестьдесят девятая всероссийская научно-техническая конференция студентов, магистрантов и аспирантов высших учебных заведений с международным участием. Сборник материалов конференции. Электронное издание. Ярославский государственный технический университет. - 2016. - С. 600-602.

135. Зеленский, А.А. Система безопасности станочного оборудования и защиты оператора на основе распределенной системы управления. / А.А. Зеленский, М.А. Харьков // Вестник МГТУ "Станкин". - 2018. - №3 (46). C. 2529.

136. Козак, Н.В. Реализация логической задачи ЧПУ и задачи производственной безопасности на основе внешних вычислительных модулей Soft PLC / Н.В. Козак, Р.А. Абдуллаев, И.А. Ковалев, Н.Ю. Червоннова // Автоматизация в промышленности. - 2016. - №5. - С. 29-31.

137. Брагин, Г. Безопасность и сертификация. / Г. Брагин // Компоненты и Технологии. - 2007. - №7(72). - С. 136-139.

138. Платунов, А.Е. Сторожевые механизмы во встраиваемых вычислительных системах / А.Е. Платунов, А.С. Стерхов // Научно-технический вестник информационных технологий, механики и оптики. - 2017. - том 17 №2. -С. 301-311.

139. ГОСТ Р ИСО/МЭК 62061 - 2015, Безопасность оборудования. Функциональная безопасность электрических/электронных/программируемых электронных систем, связанных с безопасностью. [Текст] -М.: Издательство стандартов, 2015.

ПРИЛОЖЕНИЕ А. ЛИСТИНГ ПРОГРАММЫ РАСЧЕТА ОЗК И ВЫЧИСЛЕНИЯ ВРЕМЕНИ НА ПЕРСОНАЛЬНОМ КОМПЬЮТЕРЕ

#include <QCoreApplication> #include <unistd.h> #include <time.h> #include <math.h> #include <iostream> #include <fstream> #include <iomanip> using namespace std;

const float d_W = 100.0; const float a1 = 10.0; const float a2 = 20.0; const float L = 30.0;

int main(int argc, char *argv[]) {

QCoreApplication a(argc, argv);

float phi_A, phi_C, x, y, z;

float a_x, a_y, a_z, p_x, p_y, p_z;

timespec tp1, tp2;

uint64_t diff;

uint64_t diff_max;

uint64_t diff_min;

uint64_t diff_ave;

uint64_t diff_old;

uint key;

bool ini;

const float PI = float (M_PI);

diff_max=0;

diff_min=0;

diff_ave=0;

ini=false;

ofstream fout("data.csv", ios_base::out | ios_base::trunc);

for (int64_t i=0; i<10000000; i++) {

// Получение случайных значений для входных данных ОЗК

if (key) key = 0; else key = 1;

a_x = rand()/float(RAND_MAX); a_y = rand()/float(RAND_MAX); a_z = float(sqrt(1-powf(a_x,2)-powf(a_y,2))); p_x = 100 * (rand()/float(RAND_MAX)); p_y = 100 * (rand()/float(RAND_MAx)); p_z = 100 * (rand()/float(RAND_MAx));

clock_gettime(CLOCK_MONOTONIC, &tp1); // Таймерная метка начала вычислений

if (key==0) {

phi_A = acos(a_z); if (a_y < 0)

phi_C = -atan(a_x/a_y); else if (a_y > 0)

phi_C = -atan(a_x/a_y) + PI; else if ((a_y == 0) && (a_x < 0))

phi_C = -PI/2; else if ((a_y == 0) && (a_x > 0)) phi_C = PI/2;

else if ((a_y == 0) && (a_x == 0)) {

phi_C = NAN; phi_A = 0;

}

}

else if (key == 1) {

phi_A = -acos(a_z); if (a_y < 0)

phi_C = -atan(a_x/a_y) + PI; else if (a_y > 0)

phi_C = -atan(a_x/a_y); else if ((a_y == 0) && (a_x < 0))

phi_C = PI/2; else if ((a_y == 0) && (a_x > 0)) phi_C = -PI/2;

else if ((a_y == 0) && (a_x == 0)) {

phi_C = NAN; phi_A = 0;

}

}

x = p_x * cos(phi_C) - p_y * sin(phi_C);

y = -al + sin(phi_A) * (d_W - p_z) + p_x * cos(phi_A) * sin(phi_C) + p_y * cos(phi_A) * cos(phi_C);

z = L - a2 + cos(phi_A) * (d_W - p_z) + p_x * sin(phi_A) * sin(phi_C) + p_y * cos(phi_C) * sin(phi_A);

clock_gettime(CLOCK_MONOTONIC, &tp2); // Таймерная метка окончания вычислений

//Рассчитываем разницу времени таймерных меток

diff = (tp2.tv_sec - tp1.tv_sec) * 1000000000 + tp2.tv_nsec - tp1.tv_nsec;

if (ini) {

if (diff>diff_max) diff_max=diff; if (diff<diff_min) diff_min=diff; diff_ave=(diff+diff_old)/2;

}

else

{

diff_max=diff; diff_min=diff; diff_ave=diff; ini=true;

}

diff_old=diff; fout << diff << endl;

}

printf ("MAX Time = %lu nsec \n", diff_max); printf ("MIN Time = %lu nsec \n", diff_min); printf ("AVE Time = %lu nsec \n", diff_ave);

fout.close(); // закрываем файл

//....................................

return a.exec();

}

ПРИЛОЖЕНИЕ Б. ПРОГРАММНО-АППАРАТНАЯ АРХИТЕКТУРА ЦЕНТРАЛЬНОГО ВЫЧИСЛИТЕЛЬНОГО МОДУЛЯ РАСПРЕДЕЛЕННОЙ

СИСТЕМЫ УПРАВЛЕНИЯ

Фрагмент кода карты подключения архитектуры центрального модуля распределенной системы управления к выводам микросхемы ПЛИС FPGA на языке Verilog в среде разработки Quartus (Top-уровень):

module BCU

(

input wire [5:0] clk50,

output wire [22:1] fs_a, // [19:1] sram_a,

output wire [1:0] fs_be, // sram_we_n, sram_oe_n,

inout wire [15:0] fs_d, // sram_d,

output wire fs_we_n, // sram_we_n,

output wire fs_oe_n, // sram_oe_n,

output wire flash_cs_n,

output wire fs_rst,

output wire sram_cs_n,

output wire [3:0] led,

input wire [7:0] sw,

output wire [11:0] sdram_a,

output wire [1:0] sdram_ba,

output wire sdram_ncas,

output wire sdram_cke,

output wire sdram_ncs,

inout wire [31:0] sdram_dq,

output wire [3:0] sdram_dqm,

output wire sdram_nras,

output wire sdram_nwe,

output wire sdram_sclk,

output wire dclk,

output wire sce,

output wire sdo,

input wire data0,

input wire enet a rx clk,

input wire enet_a_rx_dv,

input wire [3:0] enet_a_rxd,

output wire enet_a_gtx_clk,

output wire enet_a_tx_en,

output wire [3:0] enet_a_txd,

output wire enet_a_clk_25,

input wire enet a int n,

output enet_resetn,

output enet_mdc_tse,

inout enet_mdio_tse,

input wire enet b rx clk,

input wire enet_b_rx_dv,

input wire [3:0] enet_b_rxd,

output wire enet_b_gtx_clk,

output wire enet_b_tx_en,

output wire [3:0] enet_b_txd,

output wire enet b clk 25,

input wire enet_b_int_n,

output wire led_a_green_n,

output wire led_a_yellow_n,

output wire led_a_orange_n,

output wire led_b_green_n,

output wire led_b_yellow_n,

output wire led_b_orange_n,

output wire sd_cs,

output wire sd_clk,

output wire sd_mosi,

input wire sd_miso,

input wire [7:0] bus_data_rx,

input wire bus_sync_rx,

input wire bus_clk_rx,

output wire [7:0] bus_data_tx,

output wire bus_sync_tx,

output wire bus_clk_tx

); ....

RTL-модель архитектуры центрального модуля распределенной системы управления (Тор-уровень) в среде разработки Quartus:

и и иииииии

3 15 Щр

Рисунок Б.1. RTL-модель архитектуры центрального модуля

Use C... Name Description Export

Q □ clkcpul Clock Source

0 ED peripheral_pipeline_bridge_cpui_l Avalon-MM Pipeline Bridge

0 0 altpll_cpu_l Avalon ALTPLL

0 0 DQ niosZ_cpu_l Nios II Gen2 Processor

0 0 dQ nios_custora_instr_floating_point_2_cpui_l Floating Point Hardware 2

0 0 ci_fp_sqrt_cpu_l d_fp_sqrt

0 0 sdrant_cpui_l SDRAM Controller

0 0 performance_counter__cpii_l Performance Counter Unit

0 0 jtag_uart_cpu_l JTAG UART

0 0 sysid_cpiJi_l System ID Peripheral

0 0 timer_cpu_l Interval Timer

0 0 timer_cpu_l_additional Interval Timer

0 0 hires_timer_cpu_l Interval Timer

0 0 sw_pio_cpu_l PIO (Parallel I/O)

0 0 led_pk>_jcpui_l PIO (Parallel I/O)

0 0 spi_masler_cpu_l SPI Master (3 Wire Serial)

0 0 epcs_fIash_controller_cpu_l Legacy EPCS/EPCQxl Flash Controller

0 0 ûQ tse_mac Triple-Speed Ethernet

0 0 sgdinia_tx Scatter-Gather DMA Controller

0 0 sgdmarx Scatter-Gather DMA Controller

0 0 descriptor memory On-Chip Memory (RAM or ROM)

0 0 x_bus_buffer On-Chip Memory (RAM or ROM)

0 0 a va lon_8m_l s_con_0 Bus Arbiter

0 0 dQ x_bus_imaster x_bus_rx_tx

0 0 message_buffer_rant On-Chip Memory (RAM or ROM)

0 0 DQ niosZ_cpii_Z Nios II Gen2 Processor

0 0 [JQ nios_custom_instr_floating_point_cpu_2 Floating Point Hardware 2

0 0 c i_fp_ sq rt e p u _ Z a_fp_sqrt

0 0 ci_fp_siit_cpu_Z ci_fp_sin

0 0 ci_fp_cos_cpui_Z a_fp_cos

0 0 ci_fp_ata n_cpu_Z a_fp_atan

0 0 tristate_bndge Tri-State Conduit Bridge

0 0 DQ tristate_condult_pin_sharer_0 Tri-State Conduit Pin Sharer

0 0 DQ ext_flash_cpu_Z Generic Tri-State Controller

0 0 1Û SRAH_cpui_Z Generic Tri-State Controller

0 0 peripheral_pipeline_bridge_cpui_Z Avalon-MM Pipeline Bridge

0 0 sw_pio_cpu_Z PIO (Parallel I/O)

0 0 led pio cpu_2 PIO (Parallel I/O)

0 0 timer_cpu_Z Interval Timer

0 0 jtag_uart_cpu_2 JTAG UART

0 0 hires_timer_cpu_2 Interval Timer

0 0 ucsnet_controller_cpu_2 UCSNet controller

exported

altpll_cpu_..

clk_cpu_l

altpll_cpu_..

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

altpll_cpu_.

multiple

altpll_cpu_.

altpll_cpu_.

multiple

multiple

altpll_cpu_.

multiple

multiple

altpll_cpu_.

altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. altpll_cpu_. multiple

oxoooa_oaoa oxoa9s_oaoa

0x0061_OOOO

Opcode 'li ll-l. " " _' hi _

Opcode □

0x02aa_0a0a axoaaa_a8oa Oxoaaa_iaoa oxoaaa_noa oxoaaa_i2oa

CxCDDDlTCO oxaaaa_i3ao oxoaaa_i4oa oxoaaa_isoa Oxoaoa_ieao oxoaaa_oaoa oxoo8b_oaoa ajcaasc_aaaa 0x008d_aa0a

nui T- r' mui tfpl^-iziui ■

raui tiple nui T- r'

oxoa58_oaoa Opcode ::htinl::-::i::-mu. Opcode 0 Opcode 1 Opcode 2 Opcode 3

i 0x0000_ i 0x0300_ oxoasa_ i oxoaaa_

i 0x0aaa_

* 0x0aaa_ i oxoaaa_ i oxoaaa_ cut a ass

oooo oooo oooo 1000 ,2000 3000 4000 E000

aaaa

0x0000 0x0098

oxooei.

Opcode Opcode OxOlff 0x0000 0x0000 0x0000 0x0000, 0x0000 0x0000 0x0000 0x0000, 0x0000 0x0000 0x008b 0x008c 0x008d_ tip! rault±pl miil t=p.I miiJ. tzpl tip! 0x0053 Opcode Opcode Opcode Opcode Opcode

ffff

OOOf 07 ff

0

ffff 083f 1007 1107 121f 171f 133 = 140f loOf ltlf 07f f 03 = f 003f 003 =

07 = f 0

0x007f_ 0x030=_ 0x0050 0x0000_ 0x0000_ 0x0000_ 0x0000_ OxOOOO_ 0x0055

ffff

ffff ffff

101 = 2 an f 301 = 4007 503f 03ff

RTL-модель процессорной сборки архитектуры центрального модуля распределенной системы управления среде разработки Quartus с развернутой процессорной сборкой:

Рисунок Б.3. RTL-модель процессорной сборки архитектуры центрального модуля

ПРИЛОЖЕНИЕ В. АППАРАТНАЯ АРХИТЕКТУРА ПЕРИФЕРИЙНОГО МОДУЛЯ РАСПРЕДЕЛЕННОЙ СИСТЕМЫ УПРАВЛЕНИЯ

Код карты подключения архитектуры периферийного модуля дискретных входов/выходов распределенной системы управления к выводам микросхемы ПЛИС CPLD на языке Verilog в среде разработки Quartus (Тор-уровень):

module sec_discrete_io_16_x_bus_v3 (

input wire [ 7 : 0] bus_data_rx,

input wire bus_sync_rx,

input wire bus_clk_rx,

output wire [ 7 : 0] bus_data_tx,

output wire bus_sync_tx,

output wire bus_clk_tx,

input wire [ 7 : 0] bus_data_b_rx,

input wire bus_sync_b_rx,

input wire bus_clk_b_rx,

output wire [ 7 : 0] bus_data_b_tx,

output wire bus_sync_b_tx,

output wire bus_clk_b_tx,

output wire [3 : 0] info_leds,

input wire clk,

input wire [15 : 0] digital_inputs_16,

output wire [15 : 0] digital_outputs_16,

output wire k1,

output wire k2,

output wire k3,

output wire k4

parameter INDEX_INCREMENT =1;

parameter MODULE_ID = 1;

parameter WORD_SIZE = 32;

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.