Численное моделирование формирования изображения в проекционной фотолитографии тема диссертации и автореферата по ВАК РФ 01.04.03, кандидат физико-математических наук Рыжикова, Юлия Владимировна

  • Рыжикова, Юлия Владимировна
  • кандидат физико-математических науккандидат физико-математических наук
  • 2008, Москва
  • Специальность ВАК РФ01.04.03
  • Количество страниц 135
Рыжикова, Юлия Владимировна. Численное моделирование формирования изображения в проекционной фотолитографии: дис. кандидат физико-математических наук: 01.04.03 - Радиофизика. Москва. 2008. 135 с.

Оглавление диссертации кандидат физико-математических наук Рыжикова, Юлия Владимировна

Введение.

Глава 1: Проекционная оптическая литография и формирование изображения (обзор литературы).

1.1 Проекционная оптическая литография.

1.2 Маски для оптической литографии.

1.3 Основные проблемы фотолитографии.

1.4 Оптическая литография без маски: фотолитографические системы с пространственным модулятором света.

1.5 Литография без маски на дискретном зеркальном устройстве фирмы Texas Instruments.

1.6 Литография на периодической структуре из зонных пластин.

1.7 Технология производства электрически управляемых микрозеркал (MEMOS).

1.8 Численное моделирование и анализ - прямая и обратная задачи оптической литографии.

1.9 Основные характеристики оптической проекционной литографии.

1.10 Общая схема оптической проекционной литографии.

ВЫВОДЫ.

Глава 2: Формирование изображения при частично-когерентном освещении: аналитическое исследование.

2.1 Постановка задачи о формировании изображения.

2.2 Формирование изображения при частично-когерентном освещении

2.3 Спектр функции пропускания маски.

2.4 Когерентный импульсный отклик оптической системы.

2.5 Пример численного расчета изображения маски.

ВЫВОДЫ.

Глава 3: Сравнение характеристик изображений в проекционной фотолитографии.

3.1 Алгоритм численного моделирования формирования изображения.

3.2 Определение критических размеров элементов изображения.

3.3 Оптический контраст изображения для бинарных и фазовых масок .94 ВЫВОДЫ.

Глава 4: Фазово-растровые маски: способ построения и расчет изображений.

4.1 Введение.

4.2 Построение фазово-растровой маски.

4.3 Результаты численного моделирования.

ВЫВОДЫ.

Глава 5: Формирование изображения в литографии без масок.

5.1 Постановка задачи о формировании изображения в оптической литографии с микрозеркалами.

5.2 Аналитическое решение граничной задачи.

5.3 Результаты численного моделирования.

ВЫВОДЫ.

Рекомендованный список диссертаций по специальности «Радиофизика», 01.04.03 шифр ВАК

Введение диссертации (часть автореферата) на тему «Численное моделирование формирования изображения в проекционной фотолитографии»

Актуальность

Задача о формировании изображения в оптической системе в настоящее время является актуальной в связи с развитием микроэлектронной технологии [1], где для получения структуры интегральных полупроводниковых микросхем (ИС) широко используется оптическая литография (фотолитография) [1-5]. Литографический процесс определяет минимальный (критический) размер элементов на полупроводниковом кристалле и степень интеграции микросхемы, а значит её размеры и быстродействие при эксплуатации. Отметим, что существуют различные технологии литографии [4-8], но в серийном производстве ИС в основном используется проекционная фотолитография.

Численное моделирование формирования изображения относится к задачам, которые решаются при создании и исследовании новых оптических систем [9-10]. Моделирование фотолитографических процессов широко используется в полупроводниковом производстве [11-15], а также с целью изучения новых методик получения высокого разрешения, поиска наилучших конфигураций фазосдвигающих масок при заданных фиксированных параметрах (длины волны Л, числовой апертуры NA, степени когерентности сг) [12, 16-19].

С помощью численного моделирования процесса формирования изображения ,в фотолитографии можно осуществлять оптимальный выбор режима работы (т.е. такого режима освещения, параметров оптической системы и типов фотошаблонов (бинарных, фазовых, фазово-растровых), которые обеспечат наилучшее качество изображения). Применение фазовых масок позволяет улучшить характеристики изображения, такие как оптический контраст и пространственное разрешение [5, 16, 18].

Более перспективными и функционально гибкими являются фазово-растровые маски (ФРМ) [20]. Они позволяют получить любое физически допустимое распределение эффективного коэффициента пропускания от координат [20-23]. Можно ожидать, что такие маски позволят обеспечить высокий контраст изображения (не хуже, чем двухфазные маски со сдвигом фазы на 7г) и в то же время обеспечат снятие проблемы конфликта фаз (как трёхфазные маски).

В данной работе с помощью численного моделирования исследуется формирование «воздушного» изображения (т.е. сформированного на поверхности светочувствительного слоя - фоторезиста) для различных типов фотошаблонов (бинарных, фазовых, фазово-растровых) при изменении параметров проекционной системы и источника освещения.

Цели работы и основные задачи

Цели диссертационной работы состояли в исследовании формирования изображения в проекционной оптической литографии в рамках скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы с помощью численного моделирования.

В диссертационной работе были поставлены следующие задачи:

1. Реализовать модель формирования оптического изображения в проекционной фотолитографии в виде пакета исследовательских программ.

2. Исследовать изображения тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми), а также проанализировать зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок.

3. Разработать алгоритм синтеза фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчётов на три фазовые составляющие.

4. Провести аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении.

Обоснованность и достоверность полученных результатов подтверждается: физической обоснованностью используемых моделей, многократной проверкой программ тестовыми задачами, а также соответствием полученных результатов известным литературным данным.

Научная новизна

1. Впервые произведено численное моделирование формирования изображений, даваемых фазово-растровыми масками (ФРМ). Разработан алгоритм синтеза фазово-растровых масок, позволяющих получить произвольное распределение комплексной функции пропускания маски. В качестве исходного приближения для построения ФРМ предложено использовать свойство локальности - в пределе малых размеров отверстий отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре.

2. Разработан алгоритм расчета оптических изображений в литографической системе с пространственным модулятором света (ПМС). В основу алгоритма положено спектральное представление скалярной волновой функции, описывающей распределение поля волны отраженной от поворотного микрозеркала.

3. Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника. Формула пригодна как для бинарных, так и для фазовых и фазово-растровых масок, а так же для масок с линейной зависимостью фазы от пространственных координат, что имеет место при формировании изображения системы поворотных микрозеркал.

Научная и практическая значимость работы

1. Разработан пакет исследовательских программ в среде MATLAB для моделирования формирования изображения в проекционной оптической литографии, который может использоваться как для выбора оптимальных характеристик фотолитографической установки, так и для исследования новых методик получения высокого разрешения. Особенностью разработанного программного пакета является использование спектрального подхода к расчету распределения интенсивности света при частично-когерентном освещении, в основу которого положена полученная формула для спектра пространственных гармоник дифрагированного поля в случае, когда граница объекта (на маске или ее аналоге) задается в виде произвольного многоугольника.

2. Рассмотрен подход к синтезу фазово-растровых масок для оптической литографии, основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие, заданные на специальном растре, который может быть использован для многих приложений оптической обработки информации, в частности, в голографии.

Защищаемые положения

1. Математическая модель формирования изображения в проекционной фотолитографии с бинарными, фазовыми и фазово-растровыми масками, а также их аналога перестраиваемого пространственного модулятора света, представляющего собой регулярную систему электрически управляемых микрозеркал, в основу которой положены аналитические соотношения скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы, адаптированная к эффективной численной реализации.

2. Разработанные алгоритм и программы расчёта оптического изображения в проекционной фотолитографии с масками (бинарными, фазовыми и фазово-растровыми) или их динамическим аналогом пространственным модулятором света.

3. Разработанная методика оценки критических размеров элементов изображения путём численного моделирования и результаты исследования изображений тестовых объектов, даваемые различными типами масок (бинарными, фазовыми и фазово-растровыми).

4. Разработанные алгоритм и программа синтеза фазово-растровых масок, позволяющих получить маски с произвольно заданными функциями пропускания.

Публикации

Основные результаты диссертации изложены в одиннадцати работах в научных журналах и трудах конференций.

Апробация результатов работы

Результаты работы докладывались на следующих Всероссийских и Международных конференциях:

- Молодежная научная конференция «Физика и прогресс», Санкт-Петербург, 2005 г.

- 13-я международная конференция студентов, аспирантов и молодых учёных по фундаментальным наукам «Ломоносов - 2006», Москва, 2006 г.

- 8-я международная конференция «Опто-, наноэлектроника, нанотехнологии и микросистемы», Ульяновск, 2006 г.

- 7-я международная конференция «Прикладная оптика», Санкт-Петербург, 2006 г.

- 10-я и 11-я Всероссийская школа-семинар «Волновые явления в неоднородных средах», Звенигород, 2006 и 2008 гг.

- 11-я Всероссийская школа-семинар «Физика и применение микроволн», Звенигород, 2007 г.

Кроме того, результаты исследований докладывались и обсуждались на научных семинарах кафедры физики колебаний физического факультета МГУ.

Структура и объем диссертации

Структурно диссертационная работа состоит из пяти глав, вводного и заключительного разделов, а также списка использованной литературы. Она изложена на 135 листах, включая 10 таблиц, 39 рисунков и библиографию, состоящую из 97 наименований.

Содержание работы

Во введении обоснована актуальность и практическая значимость темы исследований. Сформулирована цель работы и дана краткая характеристика диссертации.

В первой главе диссертационной работы проводится анализ литературы, посвященной технологии оптической проекционной фотолитографии с использованием масок (фотошаблонов) и их динамических перестраиваемых аналогов. Выявляются основные проблемы проекционной фотолитографии и анализируются различные альтернативные фотолитографические системы без масок, а также приводится обобщенная схема проекционной оптической литографии. Анализ литературы показал, что оптическая литография без маски имеет ряд потенциальных достоинств. В частности, за счет дискретного задания дифракционной решетки из микрозеркал и поэлементного сканирования изображения в литографии с пространственным модулятором света (ПМС), составленного из поворотных микрозеркал можно достичь больших уменьшений (М = 200 - 267). Вместе с тем, оптимистические перспективы, которые открывает литография без масок, нуждаются в дальнейшей глубокой теоретической проработке и численном моделировании.

Вторая глава посвящена теоретическому исследованию прямой задачи о формировании изображения в проекционной фотолитографии, которая состоит в отыскании распределения интенсивности света 1(х',у') в плоскости изображения по известной функции пропускания фотошаблона (маски) F(x°,y°), при заданных условиях освещения и параметрах проекционной системы. Приведенное в настоящей главе аналитическое исследование задачи о формировании «воздушного» фотолитографического изображения может быть положено в основу построения алгоритма для численного моделирования. Отметим, что описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений.

В данной работе мы ограничились рассмотрением скалярной модели дифракции, поскольку она позволяет без излишних усложнений исследовать вопросы о влиянии дифракционной ограниченности проекционной системы и частичной когерентности источника света на критические размеры,'а также изучить особенности формирования оптического отклика для масок различных типов.

В третьей главе диссертационной работы описан алгоритм, положенный в основу численного моделирования формирования изображения в проекционной фотолитографии, который позволяет обеспечить высокую точность задания элементов конфигурации маски. Путём численного моделирования производится сравнение характеристик изображений (пространственного разрешения и оптического контраста), создаваемых некоторыми типичными масками. Кроме того, рассмотрена методика оценки критических размеров элементов изображения и получены зависимости этих размеров от численной апертуры и параметра когерентности для различных тестовых масок.

В четвертой главе диссертационной работы рассмотрен подход к созданию фазово-растровых масок (ФРМ) для оптической литографии, и основанный на дискретизации изображения и разложении отсчетов на три фазовые составляющие, заданных на специальном растре. Показано, что для ФРМ выполняется свойство локальности - отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре, что позволяет реализовать быстрый алгоритм вычисления значений функции пропускания. Кроме того, исследованы условия эквивалентности непрерывного и растрового задания функции пропускания. А также проведено численное моделирование формирования изображений с помощью синтезированных фазово-растровых масок.

В пятой главе диссертационной работы проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции. Здесь также обобщается формула, полученная для спектра функции пропускания произвольного многоугольника на случай расчета изображений, даваемых перестраиваемым пространственным модулятором света на микрозеркальной структуре. А также представлены результаты численного моделирования формирования изображения на примере двух поворотных микрозеркал.

В заключении сформулированы основные результаты, полученные в диссертационной работе.

Похожие диссертационные работы по специальности «Радиофизика», 01.04.03 шифр ВАК

Заключение диссертации по теме «Радиофизика», Рыжикова, Юлия Владимировна

ВЫВОДЫ

В пятой главе диссертационной работы проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала, в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении.

Получены удобные соотношения для реализации эффективного алгоритма расчета оптических изображений в литографической системе без масок (5.17) и (5.20). Приведен простой пример численного моделирования расчета изображения двух поворотных микрозеркал.

ЗАКЛЮЧЕНИЕ

При выполнении диссертационной работы получены следующие основные научные результаты:

1. Получена простая аналитическая формула для спектра пространственных гармоник дифрагированного поля, в случае когда граница объекта (на маске) задается в виде произвольного многоугольника. Описанный подход к расчету изображений не требует разложения элементов маски на простейшие (прямоугольники и треугольники) составляющие, что позволяет радикально упростить геометрический анализ и сократить объем вычислений. Формула положена в основу расчета изображений в фотолитографии.

2. Разработаны алгоритм и программа расчёта оптического изображения в проекционной оптической литографии в приближении скалярной теории дифракции с учетом частичной когерентности источника света и дифракционной ограниченности оптической системы.

3. Разработана методика оценки критических размеров элементов изображения путём численного моделирования. Исследованы изображения тестовых объектов, даваемые различными типами; масок (бинарными, фазовыми и фазово-растровыми). Изучены зависимости критических размеров от численной апертуры и параметра когерентности для бинарных и фазовых масок. Показано, что двухфазные маски обеспечивают существенно больший контраст, чем бинарные и трехфазные маски.

4. Исследовано формирование изображение в фотолитографии с помощью фазово-растровых масок (ФРМ), которые являются развитием фазовых масок и основаны на дискретизации функции пропускания и разложении отсчётов на три фазовые составляющие. Разработан алгоритм их синтеза, который позволяет получить произвольное распределение функции пропускания маски.

5. Исследованы условия эквивалентности непрерывного и растрового, задания функции пропускания. Показано, что для ФРМ, размеры которых много меньше длины волны, выполняется свойство локальности - отсчет функции пропускания в данной точке маски определяется положением ближайшего отверстия в растре. Проведено численное моделирование и продемонстрировано действие фазово-растровой маски при создании элементов субмикронных размеров на примере двух колец с зазорами.

6. Проведено аналитическое исследование задачи о нахождении распределения поля волны, отраженной от поворотного зеркала в скалярном приближении теории дифракции при когерентном и частично-когерентном освещении. Получены удобные соотношения для реализации алгоритма расчета оптических изображений в литографической системе без масок.

В заключение автор считает своим приятным долгом выразить глубокую благодарность научному руководителю Геннадию Васильевичу Белокопытову за руководство и помощь в решении многих вопросов.

Список литературы диссертационного исследования кандидат физико-математических наук Рыжикова, Юлия Владимировна, 2008 год

1. Ronen R., Mendelson A., Lai K., Lu S.-L., Pollack F., Shen J. P. Coming challenges in microarchitecture and architecture. // Proc. 1.EE. 2001. V. 89. No.3. P. 325-340.

2. Моро У. Микролитография: принципы, методы, материалы: в 2-х ч. М.: Мир. 1990. 1239 С.

3. Doering R., Nishi Y. Limits of integrated-circuit manufacturing. // Proc. IEEE. 2001. V. 89. No.3. P. 375-393.

4. Rai-Choudhuri P., Handbook of microlithography, micromachining, and microfabrication. // V. 1: Microlithography. SPIE Optical Engineering Press. Bellingham. 1997. 754 P.

5. Thompson L.F., Willson C.G., Bowden M.J. Introduction to microlithography. Washington. 1994. 527 P.

6. Matsuoka G., Tawa T. Application of electron-beam direct-writing technology to system-LSI manufacturing. // Hitachi review. 2003. V. 52. No.3. P. 157-160.

7. Электроника. Энц. слов. Под ред. Колесникова В. Г. М.: Сов. Энциклопедия. 1991. 688 С.

8. Домненко В. М. Математическое моделирование формирования фотолитографического изображения. // Канд. Диссертация, Санкт-Петербург. 1999. 130 С.

9. Ю.Проектирование оптических систем. Под редакцией Р. Шеннона, Дж. Вайанта. М. Мир. 1983. С. 178-320.

10. П.Виноградова О. А., Зверев В. А., Кривопустова Е. В., Тимощук И. Н. // Труды VII Международной конференции «Прикладная оптика-2006», Санкт-Петербург. 2006. Т. 1. С. 264-269.

11. Никитин А. В. Разрешение оптических систем и проблема воспроизведения минимальных элементов изображения. // Прикладная физика. 1997. Т. 4. С. 32-40.

12. Cole D.C., Barouch Е., Hollerbach U., Orszag S.A. Derivation and simulation of higher numerical aperture scalar aerial images. // J. Appl. Phys. 1992. V.31. No.l2B. P. 4110-4119.

13. Eib N.K., Croffie E. Strong phase shifting optical maskless lithography for the 65 nm node and beyond // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5754(1). P. 465-475.

14. Greiner С. M., Mossberc T. W., Iazikov D. DUV nanolithography enables advanced diffraction-grating arrays. // Laser focus world. 2007. P. 113-116.

15. Levenson M. Improving resolution in photolithography with a phase-shifting mask. // IEEE transactions on electron devices. 1982. V. ED-29, No. 12. P. 1828-1836.

16. McCarthy Daniel C. Phase-shift techniques rewrite the semiconductor road map. //Photonics. 2001.V.35. P. 76-81.

17. Aleshin S.V., Belokopitov G.V., Scepanovic R. Mask having an arbitrary complex transmission function. // US Patent No.: 6,197,456 Bl. CI. 430/5. Mar.6. 2001.

18. Белокопытов Г. В., Короткова Ю. В. // Труды VII Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 29-33.

19. Белокопытов Г. В., Короткова Ю. В. // Труды X Всероссийской школы-семинара «Волновые явления в неоднородных средах». Звенигород. 2006. ч. 3. С. 5-7.

20. Белокопытов Г. В., Короткова Ю. В. Фазово-растровые маски и их синтез. // Вестник Моск. Ун-та. Серия 3. Физика, астрон. 2007. №3. С. 44.

21. Котлецов Б. Н. Микроизображения: Оптические методы получения и контроля. Д.: Машиностроение. 1985. 240 С.

22. Gutmann R. J. Advanced silicon 1С interconnect technology and design: present trends and RF wireless implications. // IEEE transactions on microwave theory and techniques. 1999. V. 47. No6. P 667-674.

23. Валиев K.A., Раков A.B. Физические основы субмикронной литографии в микроэлектронике. М.: Радио и связь. 1984. 350 С.

24. Пресс Ф. П. Фотолитографические методы в технологии полупроводниковых приборов и интегральных микросхем. М.: Сов. Радио. 1978. 96 С.

25. Фотолитография и оптика. Под ред. Поля Г. и Федотова Я. А. М.: Сов. Радио. 1974. 389 С.

26. Домненко В. М., Базовый алгоритм для быстрого моделирования микроизображений при частично-когерентном освещении. // Опт. журнал. 1998. Т.65. В.1. С. 58-63.

27. Борн М., Вольф Э. Основы оптики. М.: Наука. 1970. 856 С.

28. Гудмен Дж. Статистическая оптика. М.: Мир. 1988. 528 С.

29. Маск С. A. Reducing proximity effects in optical lithography. // J. Appl. Phys. 1996. V. 35 (1). No.l2B. P. 6379-6385.

30. Chen J. F., Laidig Т., Wampler К. E., Caldwell R. Practical method for full-chip optical proximity correction. // SPIE. 1997. V. 3051. P. 790-803.

31. Harriott L. R. Limits of lithography // Proc. IEEE. 2001. V. 89. No.3. P. 366374.

32. Watanabe H., Higashikava I. Mask cost and specification // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 5256. P. 423-430.

33. Website ASM Lithography, http:// www.asml.com

34. Sandstrom Т., Bleeker A., Hintersteiner J.D., Troost K., Freyer J., Van Der Mast K. OML: Optical Maskless Lithography for economic design prototyping and small-volume production. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5377(2). P. 777-787.

35. Бельский А. Б., Ган M. А., Миронов И. A., // Труды VTI Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 9-17.

36. Dauderstadt U., Diiir P., Karlin Т., Schenk H., Lakner H. Application of Spatial Light Modulators for Microlithography // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5348. P. 119-126.

37. Esser H. G. Excimer laser speed microlithography mask writing // Europhotonics. 2006. V. 11. No.5. P.24-26.

38. Ljungblad U., Askebjer P., Karlin Т., Sandstrom Т., Sjoberg H. A high-end mask writer using a spatial light modulator // Progress in Biomedical Optics and Imaging-Proc. SPIE. 2005. V. 5721. P. 43-52.

39. Sandstrom Т., Ljungblad U. Phase-shifting optical maskless lithography enabling ASICs at the 65 and 45 nm nodes. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5567 (1). P. 529-544.

40. Sandstrom Т., Eriksson N. Resolution extensions in the Sigma 7000 imaging pattern generator// Proc. SPIE Int. Soc. Opt. Eng. 2002. V. 4889. P. 157-167.

41. Ljungblad U., Durr P., Sandstrom T. A method and apparatus for spatial light modulator // International Patent. 2002. WO 02/071127 Al.

42. Martinsson H.j Sandstrom Т., Bleeker A., Hintersteiner J. D. Current status of optical maskless lithography. // Jour, of Microlithography, Microfabrication and Microsystems. 2005. V. 4(1). P. 1-15.

43. Pease R.F. Maskless Lithography. II Microelectronic Engineering. 2005. 78-79 (1-4). P. 381-392.

44. Mounier E., De Charentenay Y., Eloy J.-C. New applications for MOEMS. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6114, art. no. 611405.

45. Chan К. F., Feng Z., Yang R., Mei W. High resolution maskless lithography by the integration of microoptics and point array technique // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 4985. P. 37-43.

46. Liu C., Guo X., Gao F., Luo В., Duan X., Du J., Qiu C. Imaging simulation of maskless lithography using a DMD™. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5645. P. 307-314.

47. Menon R., Gil D., Carter D.J.D., Patel A., Smith H.I. Zone-Plate Array Lithography (ZPAL): A maskless fast-turn-around system for microoptic device fabrication. // Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 4984. P. 10-17.

48. Menon R., Patel A., Smith H.I. Maskless optical lithography using MEMs-based spatial-light modulators. // Progress in Biomedical Optics and Imagin -Proc. SPIE. 2005.V. 5721. P. 53-63.

49. Yoshimoto Т., Miyaki I., Yaze H., Maruka Y., Ri N., Teramoto Т., Morohoshi K., Koyagi Y. Micro-stereo-lithography system. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6151 (2), art. no. 615131.

50. Qinjun Peng, Yongkang Guo, Shijie Liu. Real-time gray-scale photolithography for fabrication of continuous microstructure. // Optics letters, 2002. V. 27. No. 19. P. 1720-1721.

51. Bakke Т., Volker В., Rudloff D., Friedrichs M., Schenk H., Lakner H. Large scale, drift free monocrystalline silicon micromirror arrays made by wafer bonding // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6114, art. no. 611402.

52. Su Guo-Dung J., Toshiyoshi H., Wu Ming C. Surface-micromachined 2-D optical scanners with hign-performance single-crystalline silicon micromirrors. // IEEE photonics technology letters. 2001. V. 13. No.6. P. 606-608.

53. Ryf R., Stuart H.R., Giles C.R. MEMS tip/tilt & piston mirror arrays as diffractive optical elements. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5894, art. no. 58940C. P. 1-11.

54. Shroff Y., Chen Y., Oldham W.G. Optical analysis of mirror based pattern generation. //Proc. SPIE Int. Soc. Opt. Eng. 2003. V. 5037 (I). P. 550-559.

55. Shroff Y.A., Chen Y., Oldham W.G. Image optimization for maskless lithography. // Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5374 (2). P. 637-647.

56. Stone E.M., Hintersteiner J.D., Cebuhar W.A., Albright R., Eib N.K., Latypov A., Baba-Ali N., (.), Croffie E.H. Achieving mask-based imaging with optical maskless lithography. // Proc. SPIE Int. Soc. Opt. Eng. 2006. V. 6151 (2), art. no. 61512E.

57. Granik Y. Solving inverse problems of optical microlithography. // Proc. SPIE Int. Soc. Opt. Eng. 2005. V. 5754(1). P. 506-526.

58. Виноградова M. Б., Руденко О. В., Сухоруков А. П. Теория волн. М.: Наука. 1979. С. 251.

59. Гудмен Дж. Введение в Фурье-оптику. М.: Мир. 1970. 364 С.

60. Валиев К. А., Кудря В. П., Махвиладзе Т. М. Обратная задача фотолитографии как задача нелинейного программирования. // Микроэлектроника, 1986. Т. 15. В. 6. С. 514-518.

61. Karnowski Т., Joy D., Allard L., Clonts L. System considerations for maskless lithography. //Proc. SPIE Int. Soc. Opt. Eng. 2004. V. 5374(2). P. 1080-1091.

62. Martinsson H., Sandstrom T. Rasterizing for SLM-based mask-making and maskless lithography. // Proc. of SPIE. 2004. V. 5567. P. 557-564.

63. Burkhardt C.B. A simplification of Lee's method of generating holograms by computer //Appl. Opt. 1970. V.9. P.1949.

64. Chavel P, Hugonin J. P. High quality computer holograms: the problem of phase representation. // JOSA. 1976. V. 66. No. 10. P. 989.

65. Lee W.H. Sampled Fourier transform hologram generated by computer. // Appl. Opt. 1970.V.9. P. 639.

66. Власенко В. А., Лаппа Ю. И., Ярославский Л. П. Методы синтеза быстрых алгоритмов свертки и спектрального анализа сигналов. М.: Наука. 1990. 179 С.

67. Парыгин В. Н., Балакший В. И. Оптическая обработка информации. М.: Изд-во Моск. ун-та. 1987. 142 С.

68. Старк Г. Применение методов Фурье-оптики. М.: Радио и связь. 1988. 536 С.

69. Стюард И. Г. Введение в Фурье-оптику. М.: Мир. 1988. 182 С.

70. Белокопытов Г. В., Рыжикова Ю. В. Дифракция Фраунгофера на многоугольнике и расчет изображений бинарных масок. // Вестник Моск. Ун-та. Серия 3. Физика, астрон. 2008 (в печати).

71. Анго А. Математика для электро и радиоинженеров. М.: Наука. 1967. С. 146.

72. Тихонов А. Н., Самарский А. А. Уравнения математической физики. М.: Изд-во Моск. ун-та. 1999. 798 С.

73. Бендат Д., Пирсон А., Измерение и анализ случайных процессов. М.: Мир. 1974. 464 С.

74. Калиткин Н. Н. Численные методы. М.: Наука. 1978. 512 С.

75. Ярославский Л. П. Введение в цифровую обработку изображений. М.: Сов. Радио. 1979. 312 С.

76. Дьяконов В. MATLAB: учебный курс. СПб.: Питер. 2001. 554 С.

77. Дьяконов В., Круглов В. Математические пакеты расширения MATLAB. СПб.: Питер. 2001. 480 С.

78. Блейхут Р. Быстрые алгоритмы цифровой обработки сигналов. М.: Мир. 1989. С. 128-170.

79. Гоноровский И. С. Радиотехнические цепи и сигналы. М.: Дрофа. 2006. 719 С.

80. Справочник по специальным функциям под ред. Абрамовича М. и Стиган И. М.: Наука. 1979. С. 688.

81. Сороко JI. М. Основы голографии и когерентной оптики. М.: Наука. 1971. 616 С.

82. Белокопытов Г. В., Рыжикова Ю. В. // Труды XI Всероссийской школы-семинара «Физика и применение микроволн». Звенигород. 2007. ч. 2. С. 21-23.

83. Белокопытов Г. В., Рыжикова Ю. В. Сравнение характеристик изображения в проекционной фотолитографии. // Известия РАН. Серия физическая. 2008. Т. 72. №1. С. 88.

84. Валюс Н. А. Растровые и оптические приборы. М.: Машиностроение. 1966. 207 С.

85. Власов Н. Г., Соломахо Г. И. // Сборник трудов научно-практической конференции «Голография в России и за рубежом. Наука и практика». 2007. С. 67-70.

86. Ярославский Л. П. Цифровая обработка сигналов в оптике и голографии: Введение в цифровую оптику. М.: Радио и связь. 1987. 296 С.

87. Белокопытов Г. В., Короткова Ю. В. // Труды VII Международной конференции «Прикладная оптика-2006». Санкт-Петербург. 2006. Т. 3. С. 29-33.

88. Короткова Ю. В. // Тезисы научной конференции «Физика и прогресс» Санкт-Петербург. 2005. С. Е-03.

89. Короткова Ю. В. // Сборник работ научной конференции «Физика и прогресс» Санкт-Петербург. 2005. С. 174-177.

90. Короткова Ю. В. // Тезисы докладов конференции Ломоносов-2006. Т. 2. С. 8-10.

91. Toshiyoshi H., Piyawattanametha W., Chan C., Wu M. C. Linearization of electrostatically actuated surface micromachined 2-D optical scanner. // IEEE Journ. of Microelectromechanical Systems. 2001. V. 10, No.2. P. 205-214.

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.