Математическое моделирование формирования фотолитографического изображения тема диссертации и автореферата по ВАК РФ 05.11.07, кандидат технических наук Домненко, Виталий Михайлович

  • Домненко, Виталий Михайлович
  • кандидат технических науккандидат технических наук
  • 1999, Санкт-Петербург
  • Специальность ВАК РФ05.11.07
  • Количество страниц 132
Домненко, Виталий Михайлович. Математическое моделирование формирования фотолитографического изображения: дис. кандидат технических наук: 05.11.07 - Оптические и оптико-электронные приборы и комплексы. Санкт-Петербург. 1999. 132 с.

Оглавление диссертации кандидат технических наук Домненко, Виталий Михайлович

ВВЕДЕНИЕ.

ГЛАВА

Постановка задачи математического и компьютерного моделирования формирования фотолитографического изображения.

1.1 Факторы, влияющие на формирование изображения в процессе фотолитографической проекции.

1.2 Проблемы моделирования формирования фотолитографического изображения.

1.3 Сравнительный анализ программных продуктов для моделирования фотолитографического изображения.

ВЫВОДЫ.

ГЛАВА

Математические модели формирования фотолитографического изображения.

2.1 Математическая модель процесса фотолитографической проекции.

2.2 Описание монохроматического поля в скалярном приближении.

2.3 Моделирование формирования изображения при частично-когерентном освещении на основе скалярной теории дифракции.

2.4 Представление комплексной амплитуды монохроматического поля через суперпозицию плоских волн.

2.5 Векторная модель формирования изображения при частично-когерентном освещении. выводы

ГЛАВА

Численная реализация математических моделей формирования фотолитографического изображения.

3.1 Выбор узлов дискретизации функций при моделировании формирования изображения.

3.2 Использование сдвигового дискретного преобразования Фурье и быстрый алгоритм его вычисления.

3.3 Быстрый алгоритм вычисления функции волновой аберрации.

3.4 Быстрый алгоритм моделирования формирования фотолитографического изображения.

3.5 Пакет исследовательских программ для моделирования фотолитографического изображения.

ВЫВОДЫ.

ГЛАВА

Анализ результатов компьютерного моделирования формирования фотолитографического изображения.

4.1 Обзор результатов компьютерного моделирования формирования фотолитографического изображения.

4.2 Исследование зависимости предельного размера элементов от расфокусировки.

4.3 Сравнение результатов моделирования на основе скалярной и векторной теорий формирования изображения.

ВЫВОДЫ.

Рекомендованный список диссертаций по специальности «Оптические и оптико-электронные приборы и комплексы», 05.11.07 шифр ВАК

Введение диссертации (часть автореферата) на тему «Математическое моделирование формирования фотолитографического изображения»

Процесс производства полупроводниковых микросхем - один из самых сложнейших технологических процессов изобретенных человечеством [78, 93, 94, 97, 100-102, 105, 107]. В этой технологии собран мировой опыт из многих областей человеческой деятельности (материаловедения, химии, электроники, оптики, точной механики и других).

Основной этап этого технологического процесса - литография (lithography), - процесс переноса топографической картины шаблона на поверхность полупроводниковой пластины [78, 96, 97, 100]. Именно процесс литографии определяет минимальный размер элементов на полупроводниковом кристалле, степень интеграции микросхемы, а значит ее размеры и быстродействие при эксплуатации.

Разработаны различные технологии литографии [78, 91, 93, 94, 100102, 105, 107, 112], но в серийном производстве интегральных полупроводниковых микросхем в основном используется проекционная оптическая литография - фотолитография (photolithography) [9, 43, 78]. При использовании фотолитографической технологии формирование необходимой топографии на поверхности полупроводниковой пластины осуществляется с использованием специального светочувствительного материала - фоторезиста (photoresist) [78, 109]. Изучению процесса фотолитографической проекции, его математическому и компьютерному моделированию посвящена данная работа.

Компьютерное моделирование процессов фотолитографии имеет большое значение для оптимального выбора режимов экспонирования, поиска наилучших топографий и конфигураций фазосдвигающих шаблонов, оптимизации других характеристик этой "высокой" технологии [38, 42, 44, 69-71, 76, 105, 107]. Главной целью моделирования процесса фотолитографии является нахождение наилучшего соотношения характеристик фотолитографической установки (длины волны излучения X, числовой апертуры проекционного объектива NA, коэффициента когерентности освещения а и других) [70, 71], поскольку от баланса характеристик литографической установки зависит производительность, качество и надежность всего полупроводникового производства. Компьютерное моделирование используется также для изучения новых методик получения высокого разрешения на поверхности и внутри фоторезиста [39, 40, 44, 63, 66, 68, 74, 73-77, 83].

Исследователи стараются максимально приблизить компьютерные модели к физике фотолитографического процесса. Наиболее актуальными являются моделирование формирования так называемого "воздушного" изображения (aerial image) на поверхности [38-40, 51, 69, 75, 76, 82, 115, 116] и "скрытого" изображения (latent image) внутри слоя фоторезиста [48, 49, 67, 74, 76, 86, 114], а также моделирование процессов послеэкспозиционной обработки [38, 76]. В данной работе главное внимание уделяется моделированию формирования "воздушного" изображения, но предлагаемое математическое описание процесса фотолитографической проекции является основой для моделирования формирования "скрытого" изображения в толстом слое фоторезиста, которое предполагается осуществить в будущем.

В современной фотолитографии разработаны и активно используются различные способы повышения качества процесса фотолитографической проекции. Например, использование внеосееого освещения (off-axis illumination, OAI), создаваемого источником сложной формы, позволяет повысить глубину резкости проекционной оптической системы [39, 57, 63, 66, 83, 113], а использование фазосдвигающих масок (phase-shifting mask, PSM) позволяет увеличить крутизну стенок для некоторых элементов скрытого изображения, формируемого внутри фоторезиста, и обеспечить тем самым более точные размеры элементов на полупроводниковой пластине

44, 47, 53, 77, 111]. Для получения' высокого разрешения при экспонировании фоторезиста используются высокоапертурные проекционные объективы [43, 74, 76, 81, 114, 116] и источники освещения с длиной волны излучения лежащей в ультрафиолетовой области спектра [43]. Кроме того, при моделировании необходимо учитывать аберрации проекционного объектива, которые существенно влияют на такие важные параметры процесса фотолитографической проекции как минимальный размер элементов, глубина резкости и другие [52, 57, 113]. Все это сильно усложняет компьютерное моделирование и часто не позволяет полностью и адекватно моделировать физические процессы происходящие в фотолитографических проекционных установках.

Главной особенностью формирования фотолитографического изображения является влияние эффектов частичной когерентности освещения [1, 10, 40, 60, 69, 82, 115], а также нелинейные процессы в регистрирующих средах - фоторезистах [48, 49, 86, 114]. Это не позволяет использовать обычно применяемые передаточные функции системного анализа - функцию рассеяния точки (ФРТ), оптическую передаточную функцию (ОПФ) [1, 9, 10, 26, 28], - а требует применения более полной дифракционной теории и разработки новых алгоритмов моделирования.

Таким образом, исследование специфических вопросов формирования фотолитографического изображения и разработка математических моделей процесса фотолитографической проекции, которым посвящена данная диссертационная работа, представляются весьма актуальными.

Целью диссертационной работы является построение полной модели формирования фотолитографического изображения (с учетом всех факторов), исследование возможностей и путей ускорения компьютерного моделирования процесса формирования фотолитографического изображения, а также реализация этих методик при создании пакета исследовательских программ, а затем и полноценного программного продукта моделирования фотолитографии.

При выполнении диссертационной работы необходимо решить следующие задачи:

Проанализировать процесс фотолитографической проекции с целью учета всех факторов при компьютерном моделировании.

2) Исследовать существующие методики вычисления распределения интенсивности "воздушного" изображения и найти пути повышения вычислительной эффективности.

3) Разработать полную модель формирования фотолитографического изображения фазосдвигающих шаблонов при различных условиях освещения с учетом аберраций высокоапертурного проекционного объектива.

4) Реализовать модель формирования фотолитографического изображения в виде пакета исследовательских программ.

Структурно диссертационная работа состоит из четырех глав, пяти приложений, вводного и заключительного раздела, а также списка использованной литературы.

В первой главе данной работы проводится анализ литературы, посвященной технологии фотолитографической проекции, выявляются все факторы влияющие на формирование изображения, а также проблемы возникающие при их моделировании. Тем самым осуществляется постановка задачи данной диссертационной работы, которая направлена на наиболее полное моделирование с учетом всех влияющих факторов. В первой главе также проводится анализ пакетов программного обеспечения предназначенного для моделирования формирования фотолитографического изображения, выявляются их достоинства и недостатки.

Во второй главе диссертационной работы рассматриваются теоретические основы моделирования формирования "воздушного" фотолитографического изображения. При определенных условиях для моделирования формирования изображения можно использовать скалярную теорию дифракции, поэтому в первую очередь обсуждается методика моделирования основанная на скалярной теории, которая адаптируется для эффективной численной реализации с использованием сдвигового дискретного преобразования Фурье. Но во многих случаях необходимо учитывать все более тонкие детали процесса фотолитографической проекции, которые описываются только с использованием векторной теории дифракции. В данной работе предлагается методика моделирования на основе представления комплексной амплитуды поля через сумму линейно поляризованных векторных плоских волн. Оптическая система при этом рассматривается как амплитудно-фазовый фильтр спектра плоских волн. Обе модели разрабатываются с полным учетом всех факторов влияющих на формирование "воздушного" изображения в процессе фотолитографической проекции (внеосевое освещение, фазосдвигающие шаблоны, аберрации и другие факторы).

В третьей главе обсуждается численная реализация предлагаемых математических моделей процесса формирования изображения. Большое внимание уделяется оптимальному построению вычислительных процедур на основе быстрых алгоритмов. Основой численной реализации модели формирования при частично-когерентном освещении объекта является алгоритм сдвигового дискретного преобразования Фурье. Приводятся принципы построения быстрого алгоритма вычисления функции волновой аберрации, разработанного при выполнении данной диссертационной работы. Подробно описана процедура выбора шага дискретизации функций, используемых при моделировании, а также выбора узлов дискретизации на источнике произвольной формы. В конце главы описан пакет исследовательских программ, который был разработан на основе предлагаемых моделей и использовался для получения результатов представленных в четвертой главе.

В четвертой главе представлены результаты компьютерного моделирования фотолитографического изображения различных фазосдвигающих шаблонов при различных условиях освещения, различных параметрах и аберрационных характеристиках проекционной оптической системы. Проводится сравнение с результатами представленными в литературе, полученными экспериментально или с помощью других программных продуктов.

В приложении 1 подробно описаны основные этапы фотолитографической технологии производства интегральных микросхем начиная с очистки кремния и заканчивая готовой микросхемой. В приложении 2 приводится система канонических координат, которая используется в данной работе. Приложение 3 посвящено построению быстрого алгоритма для вычисления преобразования Фурье. Программная реализация алгоритма быстрого вычисления функции волновой аберрации приведена в приложении 4. Приложение 5 посвящено программной реализации быстрого алгоритма вычисления распределения интенсивности фотолитографического изображения. В приложениях 3, 4 и 5 приводится текст программ на языке программирования С++.

На защиту выносятся следующие оригинальные научные результаты:

1) Полная модель формирования фотолитографического изображения с учетом всех влияющих факторов.

2) Математическая модель формирования фотолитографического изображения на основе скалярной теории дифракции, адаптированная к эффективной численной реализации.

3) Быстрый алгоритм моделирования формирования частично-когерентного изображения на основе сдвига по узлам дискретизации и на источнике с шагом, кратным шагу дискретизации зрачковой функции.

4) Векторная модель формирования фотолитографического изображения оптической системой как фильтром спектра плоских волн.

5) Быстрый алгоритм вычисления функции волновой аберрации.

Практическая ценность диссертационной работы заключается в следующем:

1) Разработана полная и эффективная модель формирования фотолитографического изображения фазосдвигающих шаблонов при частично-когерентном освещении источниками любой формы и размеров с учетом аберраций высокоапертурного проекционного объектива.

2) Разработан пакет исследовательских программ для моделирования современной фотолитографии, который может использоваться для выбора оптимальных характеристик фотолитографической установки, моделирования формирования изображения при проектировании фотолитографических инструментов и исследования новых методик получения высокого разрешения.

3) Предлагаемая модель и ее программная реализация позволяют с высокой вычислительной эффективностью проводить исследование и оптимизацию процесса фотолитографической проекции даже на персональном компьютере.

4) Предложенная модель является основой для моделирования формирования "скрытого" изображения внутри фоторезиста, а на основе пакета исследовательских программ может быть создан полноценный программный продукт моделирования оптической литографии.

Похожие диссертационные работы по специальности «Оптические и оптико-электронные приборы и комплексы», 05.11.07 шифр ВАК

Заключение диссертации по теме «Оптические и оптико-электронные приборы и комплексы», Домненко, Виталий Михайлович

ВЫВОДЫ

Согласование результатов моделирования, полученных с помощью разработанного пакета программ, с результатами представленными в литературе позволяет считать модель формирования фотолитографического изображения верифицированной и достаточно адекватной.

Даже та небольшая подборка результатов, которые представлены в данной главе, позволяет оценить потенциальную практическую ценность математической и компьютерной модели, построенной в диссертационной работе.

С помощью пакета исследовательских программ можно не только изучить влияние различных факторов в процессе формирования фотолитографического изображения, но Промоделировать формирование изображения в реальных фотолитографических инструментах и осуществить оптимизацию процесса фотолитографической проекции.

ЗАКЛЮЧЕНИЕ

В данной диссертационной работе разработана полная и эффективная математическая модель формирования фотолитографического изображения. На основе метода интегрирования по источнику, используемого для моделирования частично-когерентного изображения построена полная скалярная модель формирования фотолитографического изображения. Кроме условий освещения в этой модели учитывается влияние фазосдвигающих шаблонов, которые описываются функцией комплексного пропускания предмета, а также все факторы, которые влияют на поле при прохождении через проекционный объектив (ограничение, ослабление энергии, аберрации).

Математическая модель сформулирована таким образом, что для эффективной численной реализации можно использовать быстрый алгоритм вычисления сдвигового дискретного преобразования Фурье.

Для моделирования формирования изображения высокоапертурными проекционными объективами используется векторная модель формирования изображения, основанная на представлении комплексной амплитуды монохроматического поля через суперпозицию базисных векторных плоских волн. При этом оптическая система рассматривается как фильтр спектра плоских волн.

Векторная модель формирования изображения сформулирована таким образом, что в основе численной реализации также можно использовать сдвиговое дискретное преобразование Фурье, но вычисления комплексной амплитуды поля необходимо выполнять отдельно для трех составляющих вектора поля: их, иу я и2.

Математическая модель формирования фотолитографического изображения адаптирована для эффективной численной реализации на основе использования быстрых алгоритмов. Использование быстрых алгоритмов позволило существенно повысить вычислительную эффективность методик вычисления распределения интенсивности фотолитографического изображения.

Разработан пакет исследовательских программ для моделирования современной фотолитографии, который может использоваться для исследования и оптимизации процесса фотолитографической проекции на персональном компьютере.

Таким образом, задачи поставленные в данной диссертационной работе можно считать выполненными.

Осуществляется внедрение пакета исследовательских программ в научно-техническом объединении "Планар" (г. Минск), предприятии по разработке и производству фотолитографических инструментов.

Программа моделирования формирования изображения имеет также методическую ценность и используется в учебном процессе при изучении дисциплин "Компьютерное моделирование и обработка изображений", "Компьютерные системы в оптике", "Введение в компьютерную оптику".

Представленная диссертационная работа представляет хороший задел для продолжения моделирования и исследования процесса фотолитографической проекции. В частности, предлагаемая векторная модель формирования фотолитографического изображения является основой для моделирования формирования "скрытого" изображения в толстом слое фоторезиста, которое предполагается осуществить в будущем. Построенная математическая и компьютерная модель позволяет изучить тенденции влияния различных факторов на формирование изображения и представить их в отдельной научной работе. Пакет исследовательских программ является хорошим прототипом полноценного программного продукта моделирования фотолитографии, разработку которого также предполагается осуществить в будущем.

Список литературы диссертационного исследования кандидат технических наук Домненко, Виталий Михайлович, 1999 год

1. Борн М., Вольф Э. Основы оптики. -М. Наука, 1970. -856 с.

2. Блейхут Р. Быстрые алгоритмы цифровой обработки сигналов. -М. Мир, 1989. с. 128-169, 259-302.

3. Буч Г. Объектно-ориентированный анализ и проектирование с примерами приложений на С++. -М. "Издательство БИНОМ", -СПб. "Невский диалект", 1998. -560 с.

4. Вознесенский Н. Б. Ортогональные полиномы для описания аберраций оптических систем с различными видами симметрии. Известия вузов СССР. Приборостроение. № 5, с. 92-94, 1982.

5. Вознесенский Н. Б., Домненко В. М., Иванова Т. В. Векторная теория дифракции в оптических системах с большими апертурами. Тезисы XXIX научно-технической конференции профессорско-преподавательского состава СПбГИТМО(ТУ).

6. Вознесенский Н. Б., Иванова Т. В., Виноградова Г. Н. Математическое моделирование распределения светового поля вблизи фокуса высокоапертурной оптической системы. Оптический журнал. Том 65, № Ю, с. 43-44, 1998.

7. Вознесенский Н. Б., Родионов С. А., Домненко В. М., Иванова Т. В. Векторная модель дифракции в оптических системах. Тезисы международной конференции "Прикладная оптика 96".

8. Вознесенский Н. Б., Родионов С. А., Домненко В. М., Иванова Т. В. Математическая модель дифракции в оптических системах с высокими числовыми апертурами. Оптический журнал. Том 64, № 3, с. 48-52, 1997.

9. Вычислительная оптика. Справочник. Под общей редакцией

10. М. М. Русинова. -Л. Машиностроение. Ленингр. отд-ние, 1984. -423 с.

11. Гудмен Дж. Введение в Фурье-оптику. -М. Мир, 1970. -364 с.

12. Гудмен Дж. Статистическая оптика. -М. Мир, 1988. с. 267-306.

13. Даджион Д., Мерсеро Р. Цифровая обработка многомерных сигналов. -М. Мир, 1988. с. 15-141.

14. Домненко В. М., Вознесенский Н. Б. Математическая модель формирования изображений при частично-когерентном освещении. Материалы семинара по вычислительной оптике при специализированной выставке с международным участием "ОПТИКА'95, СТЕКЛО'95, ЛАЗЕР'95".

15. Домненко В. М. Базовый алгоритм для быстрого моделирования микроизображений при частично-когерентном освещении. Тезисы международной конференции "Прикладная оптика 96".

16. Домненко В. М. Базовый алгоритм для быстрого моделирования микроизображений при частично-когерентном освещении. Оптический журнал. Том 65, № 1, с. 58-63, 1998.

17. Домненко В. М. Принципы быстрого моделирования формирования изображений при частично-когерентном освещении. Тезисы XXIX научно-технической конференции профессорско-преподавательского состава СПбГИТМО(ТУ).

18. Домненко В. М. Компьютерное моделирование формирования частично-когерентного изображения на основе векторной теории дифракции света. Тезисы международной конференции "Прикладная оптика 98".

19. Домненко В. М. Компьютерное моделирование формирования частично-когерентного изображения на основе векторной теории дифракции света. Тезисы XXX научно-технической конференции профессорско-преподавательского состава СПбГИТМО(ТУ).

20. Звелто О. Принципы лазеров. -М. Мир, 1990. -560 с.

21. Игнатовский В. С. Дифракция в линзах с произвольно большой апертурой. Известия Государственного оптического института. Том I, выпуск IV, 1919.

22. Компьютеры в оптических исследованиях. Под ред. Б. Фридена. -М. Мир, 1983. -448 с.

23. Корн Г., Корн Т. Справочник по математике для научных работников и инженеров. -М. Наука, 1984. -832 с.

24. Оппенгейм А. В., Шафер Р. В. Цифровая обработка сигналов. -М. Связь, 1979.-416 с.

25. Папулис А. Теория систем и преобразований в оптике. -М. Мир, 1971. -496 с.

26. Пол И. Объектно-ориентированное программирование с использованием С++. -Киев. НИПФ "ДиаСофт Лтд.", 1995. -480 с.

27. Проектирование оптических систем. Под редакцией Р. Шеннона, Дж. Вайанта. -М. Мир, 1983. с. 178-332.

28. Рабинер JI. Р., Гоулд Б. Теория и применение цифровой обработки сигналов. -М. Мир, 1978. с. 62-72, 394-421.

29. Родионов С. А. Автоматизация проектирования оптических систем. -J1. Машиностроение, Ленингр. отд-ние, 1982. -270 с.

30. Родионов С. А. О дифракции в оптических системах. Оптика и спектроскопия. Том 46, выпуск 4, с. 776-784, 1979.

31. Родионов С. А. Об изопланатизме в произвольных оптических системах. Оптика и спектроскопия. Том 46, выпуск 3, с. 566-573, 1979.

32. Старк Г. Применение методов Фурье-оптики. -М. Радио и связь, 1988. -536 с.

33. Страуструп Б. Язык программирования С++. -М. "Издательство БИНОМ", -СПб. "Невский диалект", 1999. -991 с.

34. Стюард И. Г. Введение в Фурье-оптику. -М. Мир, 1988. -182 с.

35. Хаус X. Волны и поля в оптоэлектронике. -М. Мир, 1988. -432 с.

36. Шлеер С., Меллор С. Объектно-ориентированный анализ: моделирование мира в состояниях. -Киев. Диалектика, 1993. -240 с.

37. Ярославский Л. П. Введение в цифровую обработку изображений. -М. Сов. радио, 1979. -312 с.

38. Ярославский JI. П. Цифровая обработка сигналов в оптике и голографии: Введение в цифровую оптику. -М. Радио и связь, 1987. -296 с.

39. Axelrad V., Boksha V., Granik Y., Milic О., Rey J., Ward D., Tochitsky E. Analysis of microlithography in an open architecture TCAD System. In Optical/Laser Microlithography IX, Proc. SPIE, Vol. 2126, pp. 648-659, 1996.

40. Barouch E., Cole D. C., Hollerbach U., Orszag S. A. Vector aerial image with off-axis illumination. In Optical Microlithography VI, Proc. SPIE, Vol. 1927, pp. 686-707, 1993.

41. Bernard D., Li J., Rey J. C., Rouz K., Axelrad V. Efficient Computational Techniques for Aerial Imaging Simulation. In Optical Microlithography XI, Proc. SPIE, Vol. 2726, pp. 273-287, 1996.

42. Bitran Y., Mendlovic D., Dorsch R. G., Lohmann A. W., Ozaktas H. Fractional Fourier transform: simulations and experimental results. Applied Optics. Vol. 34,No. 8, pp. 1329-1332,1995.

43. Brink van den M., Jasper H., Slonaker S., Wijnhoven P., Klaassen F. Step-and-scan and step-and-repeat, a technology comparison. In Optical Microlithography XI, Proc. SPIE, Vol. 2726, pp. 734-753, 1996.

44. Brüning J. H. Optical lithography thirty years and three orders of magnitude: the evolution of optical lithography tools. In Optical Microlithography X, Proc. SPIE, Vol. 3051, pp. 14-27, 1997.

45. Chang Chih-Yuan, Scaper C. D., Kailath T. Computer-aided optimal design of phase-shifting masks. In Optical Microlithography V, Proc. SPIE, Vol. 1674, pp. 65-72, 1992.

46. Cole D. C., Barouch E., Hollerbach U., Orszag S. A. Derivation and simulation of higher numerical aperture scalar aerial images. Japanese Journal of Applied Physics, Vol. 31, No. 128, pp. 4110-4119, 1992.

47. Cooley J. W., Tukey J. W. An algorithm for the machine computation of the complex Fourier series. Mathematics of Computation, Vol. 19, pp. 297-301, 1965.

48. Cui Z., Prewett P.D., Watson J. G. Focused ion beam repair of embedded phase shift mask. In Optical Microlithography X,Proc. SPIE, Vol. 3051, pp. 276-286, 1997.

49. Dill F., Neureuther A., Tuttle J., Walker E. Modeling projection printing of positive photoresists. IEEE Trans. Electron Dev. Vol. ED-22, No. 7, pp. 456464, 1975.

50. Dill F., Hornberger W., Hauge P., Shaw J. Characterization of positive photoresist. IEEE Trans. Electron Dev. Vol. ED-22, No. 7, pp. 445-452, 1975.

51. Doi T., Tardos K., Kuyel B., Neureuther A. R. Edge-profile, materials and protective coating effects on image quality. In Integrated Circuit Metrology, Inspection, and Process Control V,Proc. SPIE, Vol. 14 64, pp. 33 6-345, 1 991.

52. Flagello D. G., Klerk J., Davies G., Rogoff R., Geh B., Arnz M., Wegmann U., Kraemer M. Towards a comprehensive control of full-field image quality in optical photolithography. In Optical Microlithography X, Proc. SPIE, Vol. 3051, pp. 672-685,1997.

53. Flagello D. G., Rosenbluth A. E. Vector diffraction analysis of phase-mask imaging in photoresist films. In Optical Microlithography VI, Proc. SPIE, Vol. 1927, pp. 695-411, 1993.

54. Frigo M., Johnson S. G. FFTW Tutorial, http://theory.lcs.mit.edu/~fflw.

55. Frigo M., Johnson S. G. FFTW: An Adaptive Software Architecture for the FFT. In the 23rd International Conference on Acoustics, Speech, and Signal Processing, Proc. ICASSP 1998-3, p. 1381.

56. Frigo M., Johnson S. G. The Fastest Fourier Transform in the West. Technical report MIT-LCS-TR-728. September 1997.

57. Goodman D. S., Rosenbluth A. E. Condenser aberrations in Kôhler illumination. In Optical/Laser Microlithography, Proc. SPIE, Vol. 922, pp. 108-134, 1988.

58. Hillon P. Relativistic theory of scalar and vector diffraction by planar aperture. JOSA A. Vol. 9, No. 10, pp. 1794-1800, 1992.

59. Hopkins H. H. Canonical coordinates in geometrical and diffraction image theory. Japanese Journal of Applied Physics, Vol. 4, Suppl. 1, pp. 31-35, 1965.

60. Hopkins H. H. Image formation with coherent and partially coherent light. Photographic science and engineering. Vol. 21, No. 3, pp. 114-123, 1977.

61. Hopkins H. H. On the Diffraction Theory of Optical Images. Proc. Roy. Soc. London. A217, pp. 408-432, 1953.

62. Hopkins H. H. The Airy disc formula for systems of higher relative apertures. Proc. Roy. Soc. London. Vol. 55, pp. 116-128, 1943.

63. Hsu W., Barakat R. Starton-Chu vectorial diffraction of electromagnetic fields by apertures with application to small-Fresnel-number systems. JOSA, Vol. 11, No. 2, pp. 623-629, 1994.

64. Ibsen K., Eickhoff M., Mark Ma K., Shaw S.Y., Carlson S., Tomomatsu H. Yield management and reticle defects. In Optical Microlithography X,Proc. SPIE, Vol. 3051, pp. 266-275, 1997.

65. Kim Jin-Ha, Oh Seok-Hwan, Lee Dong-Seon, Yeo Jeong-Ho, Yu Young-Hun, Nam Jeong-Lim. Pattern deformation inducedfrom intensity-unbalanced off-axis illumination. In Optical Microlithography X, Proc. SPIE, Vol. 3051, pp. 54-65, 1997.

66. Komatsu M. Three dimensional resist profile simulation. In Optical/Laser Microlithography VI, Proc. SPIE, Vol. 1927, pp. 413-425, 1993.

67. Levenson M. D. Wavefront engineering from 500 nm to 100 nm CD. In Optical Microlithography X,Proc. SPIE, Vol. 3051, pp. 2-13, 1 997.

68. Lin B. J. Partially coherent imaging in two dimensions and the theoretical limits of projection printing in microfabrication. IEEE Transactions on electron devices, Vol. ED-27, No. 5, pp. 931-938, 1980.

69. Lin B. J. Signamization. In Optical Microlithography XI, Proc. SPIE, Vol. 2726, pp. 71-287, 1996.

70. Lin B. J. Signamization of resist images. In Optical Microlithography X,Proc. SPIE, Vol. 3051, pp. 620-628, 1997.

71. Luneburg R. K. Mathematical theory of optics. -Berkley-Los Angeles. Univ. of California Press, 1964. -448 p.

72. Mack C. A. Reducing proximity effects in optical lithography. Japanese Journal of Applied Physics, Vol. 35, part 1, No. 12B, pp. 6379-6385, 1996.

73. Mack C. A., Juang Ching-Bo Comparison of scalar and vector modeling of image formation in photoresist. In Optical/Laser Microlithography X, Proc. SPIE, Vol. 2440, pp. 381-394, 1995.

74. Mader L., Lehner N. Practical use of simulation for advanced lithography techniques. In Optical/Laser Microlithography X, Proc. SPIE, Vol. 2440, pp. 410-421, 1995.

75. Qian Qi-De, Leon F. A. Fast algorithms for 3D high NA lithography simulation. In Optical/Laser Microlithography X, Proc. SPIE, Vol. 2440, pp. 372-380, 1995.

76. Schellenberg F. M., Levenson M. D. Real and imaginary phase-shifting masks. In 12th Annual BACUS Symposium, Proc. SPIE, Vol. 1809, pp. 237265, 1992.

77. SEMATECH Official Dictionary, rev. 5.0. Technology Transfer #91010441C-STD, August 31,1995.

78. Sheppard C. J. R., Hrynevych M. Diffraction by circular aperture: a generalization ofFresnel diffraction theory. JOSA A. Vol. 9, No. 2, pp. 274281, 1992

79. Sheppard C. J. R., Török P. Approximate forms for diffraction integrals in high numerical aperture focusing. Optik. Vol. 105, No. 2, pp. 77-82, 1992.

80. Smith B. W., Flagello D. G., Summa J. R., Fuller L. F. Comparison of scalar and vector diffraction modeling for deep-UV lithography. In Optical/ Laser Microlithography VI, Proc. SPIE, Vol. 1927, pp. 847-857, 1993.

81. Socha R. J., Neureuter A. R. Propagation effects of partial coherence in optical lithography. J. Vac. Sei. Technol. B 14(6), pp. 3724-3729, 1996.

82. Stagaman G. J., Eakin R. J., Sardella J. C., Johnson J. R., Spinner III C. R. Effects of complex illumination on lithography performance. In Optical Microlithography XI, Proc. SPIE, Vol. 2726, pp. 273-287, 1996.

83. Sumiyoshi A., Sheridan J. T. Almost-Fourier and almost-Fresnel transformations. Opt. Commun. Vol. 113, No. 4-6, pp. 385-388, 1995.

84. Sumiyoshi A., Sheridan J. T. Generalization of fractional Fourier transformation to an arbitrary linear lossless transformation: an operator approach. J. Phys. A. Math. Gen. Vol. 27, pp. 4179-4187, 1994.

85. Urbach H. P., Bernard D. A. Modeling latent image formation in photolithography using the Helmholtz equation. In Optical/Laser Microlithography III, Proc. SPIE, Vol. 1264, pp. 278-293, 1996.

86. Visser T. D. Wiersma S. H. Diffraction of converging electromagnetic waves. JOSA A. Vol. 9, No. 11, pp. 2034-2047, 1992.

87. Watrasiewicz B. M. Theoretical calculations of images of straight edges in partially coherent illumination. Opt. Acta, Vol.12, pp. 391-400, 1965.

88. Website ASM Lithography, http://www.asml.com

89. Website Canon. Semiconductor Equipment. http://www.usa.canon.com/indtech/semicondeq/productmain.html

90. Website Center for X-ray Lithography University of Wisconsin. http://www.xraylith.wisc.edu

91. Website FINLE Technologies, http://www.finle.com/

92. Website IBM Research, http://www.research.ibm.com/topics/serious/chip/

93. Website Intel Technology Journal, http://developer.intel.com/technology/itj/

94. Website Karl Zeiss, http://www.zeiss.de

95. Website KLA-Tencor. http://www.kla-tencor.com

96. Website Micron, http://www.micron.com

97. Website Nikon. IC and LCD Equipment. http://www.nikon.co.jp/main/eng/products/ic.htm

98. Website Numerical Technologies, http://www.numeritech.com

99. Website SEMATECH. http://www.sematech.org/public/home.htm

100. Website Semiconductor Equipment and Materials International (SEMI) http://www.semi.org

101. Website Semiconductor Online, http://www.semiconductoronline.com

102. Oy\Website Sigma-C. http://www.sigma-c.de

103. Website Silvaco International, http://www.silvaco.com

104. Website Stanford TCAD. http://www-tcad.stanford.edu

105. Website SVG Lithography, http://www.svg.com

106. Website TCAD Journal, http://engine.ieee.org/journal/tcad/

107. Website Ultratech Stepper, http://www.ultratech.com

108. Willson C. G., Dammel R. A., Reiser A. Photoresist materials: a historical perspective. In Optical Microlithography X,Proc. SPIE, Vol. 3051, pp. 2841, 1997.

109. Wolf E. Electromagnetic diffraction in optical system. Proc. R. Soc., London. Ser. A 253, pp. 349-357, 1959.

110. Wong A. K., Neureuther A. R. Edge effects in phase-shifting mask for 0.25 um lithography. In 12th Annual BACUS Symposium, Proc. SPIE, Vol. 1809, pp. 222-228, 1992.

111. X-ray lithography. IBM Journal of Research and Development, Vol. 37, No. 3, pp. 287-474, 1993.

112. Yan Pei-Yang, Qian Qi-De, Langston J. Effects of lens aberration on oblique illumination stepper system. In Optical/Laser Microlithography VI, Proc. SPIE, Vol. 1927, pp. 167-180, 1993.

113. Yeung M. S. Modeling high numerical aperture optical lithography. In Optical/Laser Microlithography, Proc. SPIE, Vol. 922, pp. 149-167, 1988.

114. Yeung M. S., Lee D., Lee R., Neureuther A. R. Extension of the Hopkins theory of partially coherent imaging to include thin-film interference effect. In Optical/Laser Microlithography VI, Proc. SPIE, Vol. 1927, pp. 452-463, 1993.

115. Yuan Chi-Min, Miura S. S., Eib N. K. Efficient numerical simulation of high NA, i-line lithography processes. In Optical/Laser Microlithography V, Proc. SPIE, Vol. 1674, pp. 296-314, 1992.

116. Основные этапы фотолитографического производства интегральных микросхем

117. Микроэлектронное производство характеризуется многими параметрами, каждый из которых оказывает достаточно сильное влияние и требует пристального внимания высококвалифицированных специалистов в области материаловедения, химии, электроники, оптики.

118. Для изготовления сложных микросхем (процессоров, микросхем памяти) необходимо выполнить около трехсот технологических операций, которые можно сгруппировать и, таким образом, выделить следующие этапы производства:

119. Рис. 1.1. Подготовка кремниевых пластин.

120. Формирование правильной топографии этих пленок и является целью фотолитографического процесса, в результате которого на кремниевой пластине создается необходимая комбинация проводящих и непроводящих областей,

121. Полисиликон Двуокись кремния1. Кре м н иееая п ласти на

122. Рис. 1.2. ПодгбтовШ фдМорёзШШ.

123. Фотолитография (photolithography). Фотолитографический процесс является ядром этой технологии. Именно на этом этапе осуществляется создание необходимой топографии на поверхности многослойной пластины.

124. Фотошаблон (photomask), на котором нанесена топография будущей интегральной микросхемы, проектируется на фоторезист (Рис. 1.3). Внутри толстого слоя фоторезиста формируется скрытое изображение (latent image).* *1 V V 1

125. Рис. 1.3. Фотолитографическая проекция

126. В зависимости от способа позиционирования различают два типа фотолитографических установок: повторитель (stepper) (Рис. 1.5) и сканер (scanner).

127. Для создания качественной многослойной микросхемы точность совмещения фотошаблона и кристаллической пластины с фоторезистом должна быть очень высокой. Отклонение слоев друг от друга не должно превышать 0.1 мкм.

128. Рис. 1.5. Повторитель PAS 5500/22 фирмы ASM Lithography

129. Двуокись кремния Кремниевая пластина

130. Рис. 1.6. Полупроводниковая пластина после проявления

131. Рис. 1.7. Полупроводниковая пластина после травления

132. Рис. 1.8. Осаждение примесей.

133. После этого этапа фоторезист больше не нужен и его удаляют.

134. Планаризацш (рктагкайоп). На этом этапе (Рис. 1.9) завершается формирование электрических свойств полупроводниковой микросхемы.1. МИИШиЩИнШ1 юлисиликон . Двуокись кремния1. Коомниееая пласгинз1. Рис. 1.9. Плаиаризация

135. Рис. 1.10. Нанесение металлической пленки

136. На одной пластине создается сразу несколько сотен микросхем. Поэтому пластина разрезается на отдельные микросхемы, к которым присоединяются выводы. Затем микросхемы покрываются защитным слоем и помещаются в корпус.

137. Система канонических координат

138. Распространение электромагнитного поля от предмета через оптическую систему до изображения удобно формулируется в канонических координатах 28, 59.

139. Канонические (приведённые) координаты на предмете и изображении:1. Лх = = = = ~у\ = = (2-2>где х, у обобщённые координаты на предмете, х', у' - обобщённые координаты на изображении, X - длина волны.

140. Канонические (относительные) координаты на источнике : = (2-3)1. Лх Лугде , ^ координаты на изображении источника.

141. Частотные канонические (приведённые) координаты:1. XX XXо>х=ух — = у'х — = со'х, соу = уу — = у'у — = со'у, (2.4)112рх Ругде Уд. — , V = реальные частотные предметные координаты,1. X Xр' Р'х = —~, у'у = — реальные частотные координаты изображения.1. X X

142. В канонических координатах все формулировки получают вид удобный для преобразований и вычислений. Это позволяет унифицировать и сделать более независимыми друг от друга алгоритмы расчётов.

143. Построение и реализация алгоритма быстрого преобразования Фурье

144. Алгоритмы быстрого преобразования Фурье (БПФ) предназначены для эффективного вычисления дискретного преобразования Фурье (ДПФ), которое представляет собой следующую сумму:1. G(k,í) =11. N-1 М-11. Jn ■ м n=Q m=í

Обратите внимание, представленные выше научные тексты размещены для ознакомления и получены посредством распознавания оригинальных текстов диссертаций (OCR). В связи с чем, в них могут содержаться ошибки, связанные с несовершенством алгоритмов распознавания. В PDF файлах диссертаций и авторефератов, которые мы доставляем, подобных ошибок нет.